home
***
CD-ROM
|
disk
|
FTP
|
other
***
search
/
Power Tools 1993 October - Disc 2
/
Power Tools (Disc 2)(October 1993)(HP).iso
/
valid
/
electron.txt
< prev
next >
Wrap
Text File
|
1993-08-31
|
639KB
|
19,382 lines
HEWLETT-PACKARD - COMPANY CONFIDENTIAL
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
ABEL
DIO980660000 ISV
Data I/O
10525 Willows Rd. N.E.
Redmond, WA 98052
Fax (206) 882-1043
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
Data I/O's ABEL and ABEL-FPGA design tools are the industry
standard for the design of PLDs, Complex PLDs and FPGAs. Data I/O
pioneered the use of Device Fitter technology which provides device
specific synthesis and optimization for complex PLDs and FPGAs. Product
features include: a wide range of behavioral entry methods, automatic
device selection, logic optimization, and functional simulation. Links
to all major CAE vendors add capability for VHDL and Verilog design
entry and simulation. Biannual updates deliver new features and the
latest in device support.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
ASM 2600 GDSII to Pattern Gen. Postpro.
ASM950660000 Complimentary Vendor
Artwork Conversion Software, Inc.
1320 Mission St. #5
Santa Cruz, CA 95060
FAX (408) 426-2824
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
ASM 2600 fractures GDSII format data into pattern generator code
for IC, hybrid and MCM masks. Outputs are available for Mann 3000, Mann
3600 and Electromask machines. IC layout software and hybrid layout
programs output GDSII stream data. ASM 2600 consists of the following
modules
GDS21 fracturing the GDSII stream data
EMASK Electromask output module
MMASK Mann output module
PGCAM PG Viewing module
PG2GDS Reverse Translator
The fracturing algorithms handle all angle data and the GDSII
stream file does not need to be flattened. Also available is a module
called PGTIME/PGSORT which is used by the PG operator to estimate PG
time and to resort PG files for maximum throughput.
Configuration Data:
not available
Pricing Information:
$5000 PC; $7500 Unix
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
ASM 3500 DXF to GDSII Bidirect. Trans.
ASM950660000 Complimentary Vendor
Artwork Conversion Software, Inc.
1320 Mission St. #5
Santa Cruz, CA 95060
FAX (408) 426-2824
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
ASM 3500 is a bidirectional translator between Calma GDSII stream
and AutoCAD's DXF file format. This powerful translator can be used to
connect IC design databases to mechanical drawing software applications
such as HP's ME-10 and ME-30. One can also convert from DXF to GDSII;
for example when designing micromachines using mechanical drawing
software to be realized in silicon.
The GDS2DXF module lets the designer select specific structures and
layers to convert minimizing the DXF file size. Both modules are
regularly updated to support revisions in GDSII and DXF file
specifications.
The DXF2GDS module includes a sophisticated line linking routine
that converts DXF lines into closed boundaries in GDSII. Incomplete
boundaries can be mapped to an "error" layer in the GDSII database.
Configuration Data:
not available
Pricing Information:
$3500 PC; $4000 UNIX
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
ASM 3600 EGS to GDSII Translator
ASM950660000 Complimentary Vendor
Artwork Conversion Software, Inc.
1320 Mission St. #5
Santa Cruz, CA 95060
FAX (408) 426-2824
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
ASM 3600 is a bidirectional translator between HP's EGS and Calma's
GDSII stream database. Full hierarchy is supported in both directions.
A unique linking routine converts EGS vector data into closed boundaries
in GDSII. Extensive error checking and reporting is performed on EGS
entities that cannont be translated into GDSII.
Applications for ASM 3600 include conversion of EGS drawings of
hybrids, microwave, circuits, optical encoders and MCMs into GDSII for
mask making.
Configuration Data:
$3500 PC; $4000 Unix
Pricing Information:
UNIX Version $4000 per license
System Information:
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
ASM 400/410 IGES to GDSII Bidirect.Trans
ASM950660000 Complimentary Vendor
Artwork Conversion Software, Inc.
1320 Mission St. #5
Santa Cruz, CA 95060
FAX (408) 426-2824
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Electro-Mechanical Design
Product Description:
ASM 400/410 is a bidirectional translator connecting GDSII stream
data to IGES. The purpose of the program is to help IC designers send
their chip outline and mask data to mechanical design programs that read
and write IGES. The translator consists of two moduels:
GDSII to IGES
IGES to GDSII
The user can adjust translation parameters and perform scaling
while translating - a very important function when most IC designs are
done in microns and most mechanical design in inches or mm. The
GDS2IGES module also has provision to extract specified structures and
layers enabling a designer to extract only the needed data from a large
GDSII database.
Configuration Data:
not available
Pricing Information:
$2000 per module $2600 per module floating license
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
ASM 500 DXF to Gerber Postprocessor
ASM950660000 Complimentary Vendor
Artwork Conversion Software, Inc.
1320 Mission St. #5
Santa Cruz, CA 95060
FAX (408) 426-2824
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Electro-Mechanical Design
Product Description:
ASM 500 translates AutoCAD's DXF file format into Gerber photplot
code. The program automatically fills boundaries using multiple
apertures. ASM 500 is menu driven and easy to use for applicaitons such
as PCB and hybrid layout, chemical milling masks and silkscreens. ASM
500 supports DXF entities such as lines, arcs, polylines, blocks and
text. Circles and donuts can be automatically converted into Gerber
flashes. The GBRVU module enables the designer to preview his results
prior to sending data out for photoplotting. ASM 500 includes three
moduels:
DXF to Gerber
Gerber to DXF
GBRVU - Gerber View and Plot Utility
Configuration Data:
not available
Pricing Information:
$2000
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
ASM 530 Gerber to IGES Translator
ASM950660000 Complimentary Vendor
Artwork Conversion Software, Inc.
1320 Mission St. #5
Santa Cruz, CA 95060
FAX (408) 426-2824
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Electro-Mechanical Design
Product Description:
ASM 530 was developed to enable mechanical designers to load data
created by printed circuit design software. By using Gerber as a
universal output from any PCB design package the mechanical designer can
load padmasters, board outlines and silkscreens into his software for
assembly drawings and documentation. ASM 530 is fully menu driven and
easy to use. The program incorporates several switches in the IGES
output so that a wide variety of mechanical software is supported. For
example, many drafting programs do not support display of lines with
width. ASM 530 can convert each Gerber draw command into an outline so
that the
Configuration Data:
not available
Pricing Information:
$795
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
ASM 600 GDSII to Gerber Postprocessor
ASM950660000 Complimentary Vendor
Artwork Conversion Software, Inc.
1320 Mission St. #5
Santa Cruz, CA 95060
FAX (408) 426-2824
Industry:
Electrical/Electronic Eng.\PC Board Design
Electrical/Electronic Eng.\IC Design
Product Description:
ASM 600 converts GDSII stream data into Gerber (RS-274) photoplot
code for microelectronic mask making. A variety of powerful IC and
hybrid layout software output mask data in GDSII stream format. Typical
applications include hybrids, multichip modules and microwave circuits.
ASM 600 includes three modules:
GDS2GBR translates GDSII stream into Gerber photoplot
GBR2GDS translates Gerber back to GDSII
GBRVU displays and plots Gerber data.
The GDS2GBR module utilizes intelligent multi aperture fill
routines to minimize the size of the Gerber file. GDSII files do not
need to be flatted. All modules incorporate easy to use menu driven
interfaces.
Configuration Data:
not available
Pricing Information:
$2000 PC; $2500 Unix
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
ASM 800 EGS to AutoCAD Translator
ASM950660000 Complimentary Vendor
Artwork Conversion Software, Inc.
1320 Mission St. #5
Santa Cruz, CA 95060
FAX (408) 426-2824
Industry:
Electrical/Electronic Eng.\Electro-Mechanical Design
Mechanical Engineering\Mechanical Design
Product Description:
ASM 800 is a bidirectional translator between HP's EGS archive
drawing database and AutoCAD's DXF database. The translator enables
users of these CAD systems to quickly exchange drawing data.
Full hierarchy is supported in both directions; EGS instances are
mapped to DXF blocks and layer relationships are preserved. Text and
notes are mapped into AutoCAD as text insertions.
ASM 800 runs stand alone and does not require EGS or AutoCAD to
operate.
Configuration Data:
not available
Pricing Information:
$995 PC, $1500 Unix
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
ASM 900 EGS to IGES Translator
ASM950660000 Complimentary Vendor
Artwork Conversion Software, Inc.
1320 Mission St. #5
Santa Cruz, CA 95060
FAX (408) 426-2824
Industry:
Electrical/Electronic Eng.\Electro-Mechanical Design
Mechanical Engineering\Mechanical Design
Product Description:
ASM 900 is a bidirectional translator between HP's EGS archive
drawing database and IGES. The IGES database is used as a neutral file
for data exchange between various mechanical CAD programs. Full
hierarchy is supported in both directions. Layer and instance
information is preserved.
Configuration Data:
not available
Pricing Information:
$995 PC; $1500 Unix
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
AURORA
TMO943660000 ISV
Technology Modeling Associates, Inc.
3950 Fabian Wy.
Palo Alto, CA 94303
Milan Lazich (415) 856-8862
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
AURORA is a general-purpose program that accurately fits
mathematical models to measured or simulated data. It is especially
useful for extracting parameters for circuit simulation, and it comes
with the SPICE MOS and bipolar transistors models built in. AURORA
drives most industry standard parametric test equipment, including
HP4145 A/B, HP 4142, HP 4275 capacitance meter and HP 4284 capacitance
meter. It also is compatible with external circuit simulators such
HSPICE and PSpice.
Measured or simulated data is read in flexible input format.
Parameters that minimize the related error at selected data points are
extracted by an optimization alforithm. Ratios (gains) and derivatives
of data values (conductances) may also be fit. The sensitivity of the
fit to each parameter and the dependencies among the parameters are
computed automatically. An integrated plotting capability may be used
to display measured and modeled characteristics as well as the error in
the fit.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
HP9000/800 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
AccuParts
MGR970660013 Premier VAR
Mentor Graphics Corporation
1001 Ridder Park Dr.
San Jose, CA 95131-2314
Wendy Reeves (408) 436-1500
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
AccuParts is a library of symbols and simulation models that
respresent the most widely used packaged analog component parts
commercially available to board-level and system-level designers. The
library symobols are essential in design creation using Design Architect
and the models make it possible to perform analog simulation using
AccuSim.
The AccuParts library provides a selector guide for Design
Architect that makes the selection of parts easier for the designer by
allowing him to specify device characteristics which the selector guide
then uses to search the model database for a matching model.
In addition to the selector guide, there is also a transformer
creation template for Design Architect that allows the user to specify
core type, the number of windings and other significant parameters for
transformer creation. These features all combine to make the designer's
task of designing easier.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/700 HP-UX
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
AccuSim
MGR970660013 Premier VAR
Mentor Graphics Corporation
1001 Ridder Park Dr.
San Jose, CA 95131-2314
Wendy Reeves (408) 436-1500
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
AccSim is an analog simulator that allows designers to analyze
analog system, board, and IC level designs created by Design Architect.
It also supports the electrical evaluation of physical design parasitics
that have been extracted from the physical design.
AccSim's broad range of model support, which includes System
Modeling Blocks, non-lineaar magnetic devices, digital devices and
semiconductor devices, makes it applicable to both the board and IC
designer.
System Modeling Blocks provide an intuitive, graphical high-level
design capability for the systems designer or for use in modeling more
complex device behavior. Some examples of System Modeling Block
functions are: LaPlace transfer functions, multiplier, summer,
deadband, A/D and D/A converters, etc.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/700 HP-UX
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Analog Interface Kit
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
The Analog Interface4 Kit (AIK) is an interactive, graphical
front-end of SPICE and SPICE-like simulators comprising a complete suite
of post-processing and analysis tools for visualizing, identifying, and
correcting results of analog and mixed-signal simulations. You can view
simulation results in real time or probe your schematic for interactive
debuggine. AIK comes complete with a sample SPICE 2G.6 simulation
kernel, documentation, and all necesssary source routines to get you up
and running quickly.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Analog Interface Kit (AIK)
MGR970660013 Premier VAR
Mentor Graphics Corporation
1001 Ridder Park Dr.
San Jose, CA 95131-2314
Wendy Reeves (408) 436-1500
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
Analog Interface Kit (AIK) is a graphical front-end for spice and
spice-like simulators. It includes a complete suite of post processing
and analysis tools which allow you to visualize, identify and correct
simulation results of your analog and mixed-signal designs. It comes
complete with an example spice 256 simulation kernal and all necessary
subroutines required to integrate your own spice or spice-like
simulator.
* Complete graphical user interface for spice and spice-like
simulators.
* Extensive post process and analysis capabilities.
* Monte Carlo capability for evaluating manufacturing yield and
worst case performance.
* Interfration within the Mentor Graphics Falcon Framwork(TM) for
concurrent design.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/700 HP-UX
S/10000 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Analog Station
MGR970660013 Premier VAR
Mentor Graphics Corporation
1001 Ridder Park Dr.
San Jose, CA 95131-2314
Wendy Reeves (408) 436-1500
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
Analog Station provides a complete and powerful analysis solution
that supports the entire design cycle - from schematic editing, through
analog circuit simulation, to manufacturing analysis. Analog Station
provides:
* a complete design environment for analog systems and IC designers
* powerful, easy-to-use simulation and analysis capabilities
* System Modeling Block(TM) library for system-level analog
simulation
* Optional AccuParts(TM) library with more than 4800 component
models
* extensive design and analysis capabilities for power suppply
designers
* Monte Carlo capability for evaluating manufacturing yield and
worst-case performance
* integration within the Mentor Graphics Falcon Framework (TM) for
concurrent design
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/700 HP-UX
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Analog Station
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
Analog Station(TM) provides a complete and powerful analysis
solution that supports the entire design cycle - from schematic editing,
through analog circuit simulation, to VHDL capture and editing,
SimView(TM), a customizable graphical user interface, and AccuSim(TM),
and analog simulator for analog system, board, and IC level designs.
Options include the System Modeling Block(TM) library for system-level
analog simulation, the AccuParts(TM) library with more than 4800
component models. Other features include extensive design and analysis
capabilities for power supply designers, Monte Carlo capability for
evaluating manufacturing yield and worst-ease performance, and
integration within the Mentor Graphics Falcon Framework(TM) for
concurrent design.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
AutoCells
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
AutoCells(TM) assembles blocks of control logic automatically from
a netlist and a library of standard cells. It uses three or more metal
levels to route over cell areas, while channel compaction yields even
more density. Also, timing driven layout ensures that your design meets
performance goals.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
AutoLogic
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Product Description:
Develop complex ASIC, FPGA, and full-custom IC designs faster than
ever before with AutoLogic(TM), the Mentor Graphics high-performance
logic synthesis tool. AutoLogic optimizes mixed-level circuit
descriptions, including schematics, netlists, state machines, trugh
tables, Boolean equations and high-level designs synthesized with the
options. AutoLogic VHDL(TM) and AutoLogic BLOCKS(TM). It then targets
the end result into your desired ASIC, FPGA, or IC technology, using the
least possible area while meeting specified timing constraints.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
AutoLogic IC
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
AutoLogic IC is an integrated high-performance logic synthesis tool
for Mentor Graphics' GDT Designer(TM). AutoLogic IC combines the most
powerful logic synthesis algorithms available today in an open synthesis
framework. Its fast algorithms and easy-to-use interface help you
design full-custom ICs faster and more efficiently than ever before.
You can optimize gate-level netlists for area and speed. And AutoLogic
IC gives you the flexibility to customize the optimization engine to
synthesize and map your custom gates. When combined with the M
synthesis option, it completes an automated top-doen path from behavior
to standard cell layout.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
AutoTherm
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\PC Board Design
Mechanical Engineering\Thermal Analysis
Product Description:
For the thermal analysis specialist or novice, Autotherm(TM)
provides patented, automatic finite-element meshing and other features
to speed the analysis of PCB and MCM designs. It automatically creates
3D models from Board Station(TM) and MCM Station(TM) databases.
AutoTherm's integrated flow modeler simulates airflow over PCBs using
your specified inlet and outlet locations. You can customize AutoTherm
by changing correlation formulas or creating special functions and
forms. You can also use transient analysis to study time-varing
phenomena like soldering processes and cooling failures.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
BLAZE
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
BLAZE is a two-dimensional semiconductor device simulator for
advanced materials. It is used in the simulation of general devices
fabricated using arbitrary semiconductors.
BLAXE includes a library of more than 40 II-VI, III-V, IV-IV,
ternary and guarternary materials. Users may also specify their own
materials BLAZE accounts for graded and abrupt heterojuncions, and
simulates structures such as HEMT's and HBT's.
All measurable DC, small-signal and large signal characteristics
can be simulated. Calculated DC characteristics include oniput
characteristics, Gummer plots, subthreshold leakage, substrate currents,
punch through voltage and breakdown behavior.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Board Station
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\PC Board Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
From simple to complex printed circuit designs, Board Station(TM)
can take you all the way from schematic capture to manufacturing
drawings. Included is a hierarchical schematic editor, a graphics
editor for defining and modifying geometric parts, and interactive and
automatic packaging of logic symbols into physical components. You also
get powerful interactive and automatic tools for placement and routing.
Options include advanced autorouting technologies for both standard
(ARTROUTER(TM)) and high-speed (SMARTROUTER(TM)) topologies, in either
traditional grid-based on shape-based (gridless) methodologies which are
especially adept at layouts with multiple pin-pitch spacings. To
complete the process, Board Station helps you create MIL-spec drawings
and generate CAM outputs. All this is inlcuded in one integrated system
within the Mentor Graphics Falcon Framework(TM) for concurrent design.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Board Station 500
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\PC Board Design
Product Description:
Board Station 500(TM) is a superset of Mentor Graphics' flagship
board layout product - Board Station(R). It is the most advanced and
complete integrated high-speed design system available. The system
enables design engineers to specify a set of electrical rules such as
method of interconnect, topology constraints, allowable interconnect
delays, and impedance characteristic. The system then automatically
maps these rules into a set of physical rules for subsequent use by
powerful high-speed automatic and interactive place and route
algorithms. This design capability/methodology is key, since it enables
the physical representation to meet the electrical performance
requirements of the design. Board Station 500 features include: timing
constraint driven layout; electrical rules definition integrated with
design creation customized for high-speed design; powerful, automatic
and interacitve high-speed place an droute algorithms with on-line
design rule checking; integrated signal integrity analysis tools from
Quad Design Technolgoy, Inc. for predicting pre-route delays (PDQ), and
post-layout transmission line and crosstalk analysis (XTK); tight
integration with Mentor Graphics advanced simulation environment which
provides back annotation of interconnect delay for post-layout
simulation and itming verification.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
CAECO Designer Station
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
CAECO Designer Station(TM) is a high performance advanced IC layout
system that supports a broad range of IC design capabilities required
for custom and semi-custom applications including all-angle polygon and
device-level layout, real-time DRC checks, interactive compaction, and
automated interactive routing.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
CATS (Computer Aided Transcription Sys.)
TRA950660000 ISV
Transcription Enterprises, Ltd.
101 Albright Wy.
Los Gatos, CA 95030
Fax (408) 866-4839
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
CATS, Computer Aided Transcription System, is an integrated
graphical and data transcription software product used in the
semiconductor industry. Data conversion (fracturing) is supported from
design database input (CIF, GDS-II, Applicon) to any of seven electron
beam formats, various photomask inspection formats, and seven optical
pattern generator output formats. The fracturing may include scaling,
rotation, sizing, and Boolean operations. Graphical functions allow the
display and analysis of any input file or files including Jobdecks for
MEBES, Varian, and Hitachi electron beam exposure systems.
In addition to on-screen display of data and fracturing CATS offers
Versatec plotting capabilities, Jobdeck Viewing and Editing options,
conditional figure assignment and proximity correction software for
electron beam systems offering such capabilities. CATS also provides
extensive data analysis capabilities including file contents listing,
dialog recording, area and figure count calculations, and data file
syntax checking.
CATS is currently available on five platforms including HP's 9000
series 700 system.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
CR 3000
ZUK018660000 VAR
Zuken, Inc.
McCamdless Towers
3495 Freedom Cir. #1100
Santa Clara, CA 95054
Edward J. Kalenda (408) 562-0177
Industry:
Electrical/Electronic Eng.\PC Board Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\Electro-Mechanical Design
Product Description:
CR 3000 allows the use of a single UNIX workstation for schematic
design, printed circuit layout, simulation, auto routing, and a full
range of CAM tools that speed up the entire design process.
Software packages include:
* SWS for Schematic Capture
* AWS for Analog Simulation
* PWS for the Layout of Analog, Digital, Flexible and Hybrid
circuits
CR 3000 is built on a unique philosophy of True Curve Data, on the
screen and in the database, residing in a gridless environment. These
tools provide the designer with the ability to meet tight packaging
constraints while respecting complex manufacturing requirements. The
revolutionary "ZIP Router" available in CR 3000 is an auto-router that
is executed within the design window to speed the completion of
non-critical nets and allow the designer to concentrate on routing nets
requiring more attention.
The CR 3000 System is linked to Hewlett Packard's DCS, EGS and
ME-10 Software Packages, or full integration to exiting design
automation tools.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
CheckMate Station
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
Verify even the largest chip layouts with the fastest and highest
capacity tool in the industry - CheckMate Station(TM). Its greater
efficiency in memory and disk usage and polygon-data compression handles
large chip databases. Advanced algorithms improve performance by up to
3X on a single CPU. You can perform design and electrical rule
checking, parameter extraction and measurement, netlist extraction, and
layout-vs-layout and layout-vs-schematic comparisons. Redundant error
suppression and short location dramatically reduce debug time.
Optimized rule compilation provides efficient data processing. It
allows for on-line interactive execution within Mentor Graphics editors
or standalone batch processing. With its technology independence,
CheckMate Station hasa been able to verify hundreds of ICs, including
BiCMOS chips.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Code Generation System(TM) (CGSTM)
COM944660000 ISV
Comdisco Systems, Inc.
919 E. Hillsdale Blvd.
Foster City, CA 94404
Fax (415) 358-3601
Industry:
Electrical/Electronic Eng.\Signal Processing
Computer-Aided Test/Lab Auto.\Lab Data Acquisition & Control
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
The Code Generaton System(CGSTM) is an option to the Signal
Processing Worksystem(TM) (SPWTM) from Comdisco Systems. With the CGS
option, SPW becomes a graphical DSP code development environment,
enabling DSP and communication designers to automatically create rapid
prototypes from their system designs. CGS automatically translates
captured signal flow block diagrams into generic C code for mainframe
CPU, or into highly optimized C for specific single-chip DSP processors.
The code may be downloaded via Ethernet to the target system, then
compiled and executed. Output signals are returned to SPW for review
and analysis. Using this method, developers can quickly and effectively
hone their designs to operate with maximum efficiency on specific DSP
hardware.
Because CGS lets SPW users generate signals and assess chip
performance in real time, users can create very elaborate "test
instruments" to generate signals that would be very expensive-if not
impossible-to produce/analyze through more conventional means. Since it
is not necessary for CGS users to purchase or build fancy test equipment
to examine new or unique designs, the savings afforded can be
considerable.
Configuration Data:
not available
Pricing Information:
$10,000
System Information:
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Compass ASIC Design Environment
OBASEVS00000 ISV
Compass Design Automation (VLSI Tech)
1865 Lundy Ave.
San Jose, CA 95131
Glen Jones (408) 433-4880
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
Compass ASIC Design Tools allow system designers who have little or
no experience in designing complex ICs to efficiently design, verify,
and test semi-custom chips with first-time design success. This
first-time success is achieved by automating all four phases of the ASIC
design cycle with a comprehensive suite of tools:
Logic Design Automation: Chip Partitioning, Logic Synthesis,
Datapath, Compiliation, Simulation, Floorplanning, RAM/ROM Compilation,
Critical Path Analysis, Gate Array and Standard Cell Libraries.
Test Automation: Built-In Self-test, Functional Block Isolation,
Fault Simulation, Automatic Test Vector Generation.
Physical Design Tools: Gate Array Place Route, Block and Standard
Cell Place and Route, Full Custom Layout, DRC and ERC.
Factory Automation: Bonding Editor and Test Program Generation.
Configuration Data:
not available
Pricing Information:
$30K to $195K
System Information:
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/400 HP-UX
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Computer-Aided Protection Engineering
EIN000400000 ISV
Electrocon International, Inc.
715 W. Ellsworth Rd.
Ann Arbor, MI 48108
FAX (313) 761-8078
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\Electro-Mechanical Analysis
Product Description:
CAPE is an integrated set of programs designed to assist the
electric utility protection engineer with both analysis and data
management tasks. It increases his ability to examine alternatives and
does not restrict his engineering judgement. CAPE employs a graphical,
multiple-window human interface and includes a general system protection
database, a full-screen database editor, and up to nine optional modules
for analysis and reporting:
* Data Base/Editor - manages all engineering and recordkeeping data
* Short Circuit - both standard and real-life faults and outages
* Power Flow - optional realistic initial network conditions
* Coordination Graphics - distance and overcurrent displays
* One-Line Diagram - displays PF and SC results on network diagram
* Transmission Line Impendance - line constants computation
* Relay Setting - employs users own algorithms for settings
* System Simulator - time stepped-event to any fault
* Relay Checking - like SS but for a comprehensive batch review
* Setting Order Production - user designed reports
Modules are offered individually or in any viable combination
Configuration Data:
not available
Pricing Information:
Varies from US$7,500 to $41,600 first workstation, depending on
modules selected.
System Information:
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Computervision THEDA
STEL5N660000 DAR
SouthCom Technologies Inc.
2550 Argentia Rd. #207
Mississuaga, Ontario
Canada L5N 5R1
FAX (416) 567-6841
Industry:
Electrical/Electronic Eng.\PC Board Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\Electro-Mechanical Design
Product Description:
Computervision's THEDA family of EDA Software offers a
comprehensive solution for the design of electronics products. THEDA
offers undurpassed board-level engineering layout, and design
manufacturability features. Nothing can match our framework environment
for easy integration of software tools of choice. FRAMEWORK ENVIRONMENT
Op'in System, Seamless tool Integration, Common User Environment, Design
Mehtodology Management, Intertool Communication, CAD Framework
Initiative Member CAE Schematic Design, Symbol Libraries, Hierarchical
Nongraphic Properties, ASIC, PPGA aND PLD Design Tools, Digital
Simulation, Analog Simulation, Mixed-singal Simulation, Microwave
Simulation, Thermal Analyis, Parasitic Analysis, Worst-Case Timing
Analy: Behavioral Design, Fault Simulation, Time-domain Modeling, Noise
and Distortion Analysis, Monte Carlo Simulation, Hardware Modeling,
Graphics Waveform Display and Editor, On-Line Electricia Rules Checking,
Back-Annotation MCAE Termal Analysis, Stress Analyis, Vibration Analyis
Engineering Data Management Data Storage, Automatic Back-up and Recovery
Access Control, Project Management, Archive Management, Revision/Release
Control, Report Generation, Application Programming Interface Standards
IGES EDIF SET CCIT VDA CGM PHIGS+ CALS CFI.
Configuration Data:
not available
Pricing Information:
Available upon request
Priced at under $40,000.00 U.S.
System Information:
9000/1200 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Concentrator Solar Cell Modeling
LWJ805400100 ISV
James Assoc.
7329 Meadow Ct.
Boulder, CO 80301-3952
Dr. Larry James (303) 530-9014
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
Calculates a cell I-V curve from short circuit current flux density
file.
Configuration Data:
not available
Pricing Information:
$1975-2575 call for information on combination pricing discounts
System Information:
HP 9800 9800 BASIC
HP9000/300 BASIC
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Crosstalk Toolkit (XTK)
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\PC Board Design
Product Description:
Use the network simulation and analysis tools in the Crosstalk
Toolkit (XTK) to minimize signal crosstalk prior to prototypes. The
Crosstalk Field Extractor (XFX) calculates the electrical parameters of
multi-conductor configurations. Then the Crosstalk Network Simulator
(XNS) simulates inter-conductor noise generated in complex digital PCBs
and MCMs, incuding arbitary configurations of coupled lines. You are
evaluate coupling between interconnects, self and mutual inductance,
trace velocity, and forward and reverse crosstalk coefficients. For
accuracy, these tools model dielectric loss, ohmic loss, and skin
effect.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
DEPICT
TMO943660000 ISV
Technology Modeling Associates, Inc.
3950 Fabian Wy.
Palo Alto, CA 94303
Milan Lazich (415) 856-8862
Industry:
Electrical/Electronic Eng.\IC Design
Energy\Accounting
Product Description:
DEPICT simulates the topographical evolution of a device during
processing. It simulates the critical photolithography, despostion, and
etching steps required to fabricate ICs. The program can simulate the
fabrication of a wide variety of device structures composed of up to 10
separate arbitrarily shaped layers. Each layer may consist of any of 40
different materials, including single crystal silicon, silicon dioxide,
polycrystalline silicon, silicon nitride, aluminum, gallium arsenide,
sapphire and may commonly used photoresists.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
HP9000/800 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
DMEGA/GUN3D - Electron Gun Analysis
EIN000400000 ISV
Electrocon International, Inc.
715 W. Ellsworth Rd.
Ann Arbor, MI 48108
FAX (313) 761-8078
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\Electro-Mechanical Analysis
Electrical/Electronic Eng.\Electro-Mechanical Design
Product Description:
DMEGA and GUN3D are integrated pair of programs for two-and
three-dimensional simulation of electron guns for television, microwave
tube and related applications. A steady state simulation derives the
potentials, space charge, curent density, and electron trajectories.
The triangular mesh allows the modeling of electrodes of any shape. In
GUN3D electrodes may be asymmetric. DMEGA can treat multiply gridded
electron guns, point emitters, cathode ray tubes, high-power
relativistic beams, magnetron injection guns, and multistage depressed
collectors. GUN3D can compute the outer beam deflection in
multiple-beam color TV guns. Optional random thermal velocities may be
included. DMEGA accepts periodic or piecewise-linear axial magnetic
focusing fields; options of a current-loop model or vector potential
data are available for a more accurate off-axis field. Plots of
electron trajectories, equipotentials, current density, and beam cross
section are among the graphical output available.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/400 HP-UX
HP9000/700 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
DSP ProCoder(TM)
COM944660000 ISV
Comdisco Systems, Inc.
919 E. Hillsdale Blvd.
Foster City, CA 94404
Fax (415) 358-3601
Industry:
Electrical/Electronic Eng.\Signal Processing
Application Dev./Software Eng.\Code Generators
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
Comdisco System's DSP ProCoder is an option to the Signal
Processing WorkSystem(R) (SPWtm), a graphical, integrated software
environment for interactively designing, simulating, and implementing
DSP and communicaitons systems. The DSP ProCoder automatically
generates optimized, production quality assembly source code to program
designs created in SPW into fixed-point processing chips. The ProCoder
saves significant development time by replacing inefficient C compilers
with direct code generation. System performance is also enhanced
because ProCoder assembly code is optimized for speed, minimal memory
use, and is of hand-coded quality.
The ProCoder takes a captured block diagram and turns it into
assembly code for the Motorola 56000 DSP processor (other fixed-point
chips soon to be supported). It does this by efficiently unifying the
code associated with each individual block into one program. The
ProCoder supports a large subset of the SPW block library for this
purpose, and includes the blocks most likely to be implemented in
fixed-point hardware. Users can also add their own blocks to ProCoder's
library by utilizing a symbolic version of the target assembly language
to define the new blocks.
Configuration Data:
not available
Pricing Information:
$15,000
System Information:
HP9000/400 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
DSP Station
MGR970660013 Premier VAR
Mentor Graphics Corporation
1001 Ridder Park Dr.
San Jose, CA 95131-2314
Wendy Reeves (408) 436-1500
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
DSP Station is a complete suite of tools for designing the Digital
Signal Processing (DSP), portions of analog/digital systems. It
provides the specialized design entry, simulation, analysis,
optimization, and implementation options that are required by DSP:
* Graphical and textual design entry for filter synthesis and
signal flow graphs
* Faster, more accurate simulators, that include arithmetic
optimizations
* Multiple implementation choices from one design entry
* Optimized code for standard DSP chips from TI and Motorola
* Optimized code and ASIC configurability on GDT based core
processors
* Synthesized DSP architectures for custom ICs using either of two
cell-based libraries; one for smaller size and one for higher
performance
DSP Station can operate stand-alone but its real power comes
through Lsim and C links for full system simulation and M code cell
library links for use with GDT.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
S/4500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
DSP Station
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\Signal Processing
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Product Description:
DSP Station is a complete suite of tools for designing the Digital
Signal Processing (DSP) portions of analog/digital systems. It provides
the specialized design entry, simulation, analysis, optimization, and
implementation options that are required by DSP. DSP Station takes you
from high-level specification all the way to implementation, including
ASICs. It features special graphical and textual design entry for
signal flow graphs and filer synthesis, as well as accurate simulators
with routines for arithmetic optimization to assist your algorithm
development. Unique analysis functions with special display and
charting capabilities help you evaluate your design. You can choose
your implementation - either code generation for popular DSP chips or
custom, synthesized IC designs using bit-serial or bit-parallel
architectures. DSP Station can operate stand-alone, but its real power
comes through Lsim and C links for full system simulation and M code
cell library links for use with GDT Designer(TM). This complete design
environment also liks into the complete systems design capability of
Mentor Graphics' Falcon Framework(TM).
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
DSP-300
ARI089400000 Complimentary Vendor
Ariel Corporation
433 River Rd.
Highland Park, NJ 08904
Leslie Listwa (908) 249-2900
Industry:
Electrical/Electronic Eng.\Signal Processing
Mechanical Engineering\Mechanical System Analysis
Complementary Hardware Prod.\Array Processors
Product Description:
The DSP-300 brings high precision, high throughput, Signal
processing power to the HP Series 200/300 engineering workstation.
Combining Motorola's DSP 56001 Signal processing CPV with up to 32K
(expandable to 128K) words of zero-wait-state program and data memory,
the DSP-300 greatly accelerates compute-intensive tasks commonly
encounted in signal processing systems, Ariel-supplied software permits
complex signal processing functions; such as FFT, to be called with
single line BASIC or Pascal Code Optional HP-UX drives for C and Macro
Assembler for the OSP56001 is available.
The DSP-300 is a second generation co-processor which easily out
performs eariler designs in typical number-crunching applications. Used
as a traditional "accelerator", the DSP-200 offers superior speed (10.25
MIPS), greater accuracy (29 bit data path 56 bit accumulator), larger
Arrays, and greater flexibility than before.
The DSPFFT software module supplied with the DSP-300 provides
general purpose FFT and related functions:
* High Speed - 1024 point complex FFT in 3.39 MSEC
* High Precision - 144db/336db dynamic range
* High Throughput - Dedicated part for signal I/O
* Supports DMA and interrupts
* Compact - Occupies a single D/0 card slot
Configuration Data:
not available
Pricing Information:
DSP 300 - $2,400.00 HP-UX Drivers - $495.00 Additional 64K
memory - $745.00 Macro Assembler - $995.00
System Information:
HP9000/300 BASIC
HP9000/300 HP-UX
HP9000/300 PASCAL
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Datapath
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
DataPath(TM) is an advanced software system used to construct N bus
parallel datapaths from a library of leaf calls. Based on your input
and choice of topology, it designs and optimizes arithmetic,
datapath-type architectures comprising registers, ALUs, and multipliers.
As it optimizes bus structures, the system automatically places and
routes very dense layouts that are typically one-half to one-third the
area of equivalent standard-cell implementations. DataPath can build
several different topologies of leaf cells, so you can mix existing
leaf-cell libraries with new implementations in virtually any topology
to suit your datapath layout requirements.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Design Compiler(tm)
SYN940660000 ISV
Synopsys
700 E. Middlefield Rd.
Mt. View, CA 94043
Mark Guthrie (415) 962-5000
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
The Design Compiler family is a set of second-generation logic
synthasis tools that reduce ASIC design time and circuit area and
improve timing performance. The tools accept designs from various
sources, optimize them using multide constraints simultanously, and
generates netlists, reports, and schematics. Design Analyzer provides
support for synthesis control, design management and design analysis in
a graphical environment. Based on the motif(TM) Window Manager system,
Design Analyzer has a menu-driven system for working within the Synopsys
design environment. Test Compiler, is a test synthesis solution that
automates designs for test (OFT) and provides automatic test pattern
generation (ATPG). Test Compiler integrates analysis and design for
test into the normal design process and enables design engineer to
explore trade-offs among speed, area, and testability. The VHOL System
Simulator(VSS), provides a complete simulation environment for
specifying and verifying electronic systems at the behavioral, RTC, and
gate-level. It's based on a full implementation of VHOL. The optional
Simulation Graphical Environment(SGE) adds easy to use preparations and
analysis tools to the core simulator.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
DesignWare
SYN940660000 ISV
Synopsys
700 E. Middlefield Rd.
Mt. View, CA 94043
Mark Guthrie (415) 962-5000
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
The first set of DesignWare products offered by Synopsys are
Synthetic Designs. Synthetic Designs are an inventory of pre-verified
technology-independent, parameterizable functions that can be used
off-the-shelp. Synopsys has already developed in inventory of Synthetic
Designs consisting of the ALU Family, the Advanced Math Family and the
Sequential Family. these families include components such as adders,
multipliers, multiply-accumulators, FIFOs and counters.
Synopsys also provides the DesignWare Developer to allow designers
the ability to encapsulate their own design knowledge into a DesignWare
part. The DesignWare Developer integrates a custom component with the
Synopsys high-level design environment and activates high-level
optimizations such as implementation selection, resource sharing and
allocation, arithmetic optimizations and input permutation. DesignWare
Developer also provides a structured design management methodology to
help organize designs into libraries and enables the keying and
encrypting of designs for distribution.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Digital Filter Design
PAR805400000 ISV
Parametrics, Inc./ESC
PO Box 8035
Loveland, CO 80537
Bill Arrington (303) 667-4030
Industry:
Electrical/Electronic Eng.\Signal Processing
Aerospace\Military Applications
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
The Digital Filter Design Software provides an easy method for the
design analysis, and study of digital filters, and discrete time
systems. The program is menu-oriented and prompt-driven for interactive
design and analysis. IIR, FIR, MA, or ARMA Digital filters can
effortlessly be designed or analyzed in minutes. Some of the design
techniques include least-squares, minmax, fourier series and
transformations include impulse-invariance, covariance-invariance, and
bilinear-z.
Input design and analysis parameters may be entered as frequency
domain specifications, as analog filter specifications, or as digital
filter specifications. The parameters may be entered from a data file,
or manually from the keyboard or CRT graphics.
Filter coefficients, impulse responses, frequency responses, and
other outputs may go to CRT, printers, and plotters. The output
coefficients may be passed to the Waveform Analysis Software Package.
Digital Filter Design is accompanied with a complete manual covereing
the theory of digital filters, user instructions, examples and
references.
Configuration Data:
HP Software Required: BASIC 3.0 or 4.0 or 5.0
Supports most HP printers and plotters
Pricing Information:
Available upon request
System Information:
HP9000/300 BASIC
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
EDA Consulting Services
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
Is your organization getting themost from its EDA investment? Rely
on the industry-leading expertise of the Mentor Graphpics Consulting
Services to productively implement your EDA process. We can assess,
plan, and implement a successful electronic design automation
environment, while preserving and leveraging existing investments. We
help to simplify and improve your organization and design process to
meet market the technology requirements. Based on an in-depth
assessment of current capabilities, Consulting services offers a range
of services that can help you implement an optimized design environment.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
EDA Conversion Services
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\PC Board Design
Electrical/Electronic Eng.\Electro-Mechanical Analysis
Electrical/Electronic Eng.\Electro-Mechanical Design
Product Description:
Breaking up is hard to do, but not as tough as converting from your
existing EDA vendor to a new one. You can depend on our Conversion
Services team of dedicated technical experts and software engineers to
plan and implement a smooth, safe migrationi to Mentor Graphics. Among
other services, we can provide software to convert data from other
CAD/CAE systems into the Mentor Graphica environment. We convert
schematics from systems such as Daisy, Valid, Cadnetix, Viewlogic, PCAD,
HP and others. We can also vonvert PCB databases from Applicon,
Cadnetix, CV, Daisy, Gerber, HP, Intergraph, SciCards, Telesis, valid
and others. And if you have unique translator or interface
requirements, Conversion Services can develop custom software for your
applications. Success in electronic design demands innovative ideas,
tools to transform fhose ideas into reality, and responsive support and
services to promote productivity. For your productivity, the Mentor
Graphics Customer Success Process provides a set of core support and
value-added services designed to leverage your use of EDA technolgoy.
Unlike support and service offerings from other EDA vendors, which focus
cimply on tool usage, the components of the Customer Success Process go
beyond the use of isolated tools. We also account for the design
process and environment issues with in the tools will operate. All
these services are customized to meet your needs.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
EDI Translator Development Framework
EDA951660000 ISV
Engineering DataXpress, Inc.
5 Town & Country Village #736
San Jose, CA 95128-2026
John Eurich (408) 243-8786
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
EDIF is a data translation standard (ANSI/EIA-548-1988) by which
incompatible design automation systems can communicate. In the quickly
growing and increasingly complex world of CAE and CAD, design tools have
appeared from hundreds of commercial developers and in-house efforts,
yet few can "speak" the same language. EDIF is that language.
The EDI Translator Development Framework, created by Engineering
DataXpress, the only company totally dedicated to supporting all aspects
of EDIF, provides all of the software modules common to every translator
development project. With its use, you need only to develop the
interface to your own data. EDI provides a procedural interface to its
own intermediate database, so that you may import and export data in any
order which it most convenient. And EDI includes a full suite of EDIF
utilities to ensure the correctness of your final translator product and
optimize your EDIF data.
DataXpress recongnized that many of the same steps must be
performed by every individual or group who develops an EDIF interface,
and that the most difficult steps are usually the ones requiring an in
depth understanding of the EDIF data format. EDI was developed
specifically to give translator developers an easy way through each of
these repetitive steps, and to verify that the final translator meets
the complex EDIF standard.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/700 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
EDIF 2 0 0 Startup Kit
EDA951660000 ISV
Engineering DataXpress, Inc.
5 Town & Country Village #736
San Jose, CA 95128-2026
John Eurich (408) 243-8786
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
The kit includes a full EDIT syntax checker, a comprehensive test
set of EDIF data files, an EDIF pretty printer and an EDIF data
compression and blocking program. It also contains the official ETA
ANSI Standard Reference Manual.
SyntaxChecker - provided as an EDIF Writer OA tool. It is
compatible with the syntax of all three EDIF levels and all four Keyword
levels. It checks the syntax of all constructs in detail, exactly as
specified in the reference manual, and uses an advanced error recovery
mechanism. EDIF Tests - A comprehensive set of EDIT data files designed
to test the various types of EDIF Readers which will be written to
support the different EDIF views. The test files cover all views and
features of EDIF and were used to test the SyntaxChecker.
Pretty Print - Converts any legal EDIF files to the pretty printer
format, making it easier to create human-readible EDIF.
Compress and Block - EDIF files, if not blocked into the proper
record length, can be corrupted during data transmission. This feature
blocks EDIF files into variable of fixed lengths, squeezes out
unnecessary space and illegal characters, and yields an average of 40%
reduction in file size.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/700 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
ELITE 2604
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
ELITE is a two-dimensional simulator used in the simulation of
semiconductor deposition and etch processes.
it is a general purpose 2D topography simulator that accurately
describes a wide range of deposition and etc. processes used in modern
IC technologies. These include dry etching, wet etching, APCVD LPCVD,
ion milling, metalization and reflow. Elite includes customizable and
default machine libraries of common production equipment.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Electronic CAD Software
CNA950660000 DAR
CAD National
1680 Civic Center Dr. #208
Santa Clara, CA 95050
Rick Carlson (408) 554-1004
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
CAD National is the electronic CAD industry's source for
state-of-the-art third party hardware, software, network solutions and
CAD consulting services. CAD National's advanced software product line
includes:
* A synthesis and optimication tool to map ASIC designs to an EPGA
for early production or to investigate the changes in production cost
when different gate array libraries are used.
* An extremely accurate and fast 2D/3D capacitive and industive
parasitic modeling tool.
* An AIPG tool that handles a wide variety of circuit designs and
allows the designer to achieve a high percentage of fault coverage.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Explorer Lsim
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Product Description:
To help you manage the complexity of today's ICs, the Explorer
Lsim(TM) mixed-signal, multi-level simulator works at all the levels of
abstraction that you do. You can mix multiple levels of simulation
within the same design - even analog and digital algorithms to get the
optimum balance of accuracy and simulation throughput. Explorer Lsim
offers high-speed, large-capacity simulation algorithms for the gate,
switch and circuit levels. For top-down design, you can also simulate
high-level hardware description languages, including M and (optionally)
VHDL. To make simulation easy and transparent, Explorer Lsim's
Simulation Manager coordinates commercial and user developed simulation
algorithms through an open, extensible simulation backplane and a single
user interface. Explorer Lsim is fully integrated into Mentor Graphics'
and other EDA environments.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Explorer VHDLsim
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
Explorer VHDLsim(TM) features:
* Fully compliant with IEEE Standard 1076-1987, providing open
access to UNIX
* Fast execution speed and secure model distribution
* Full-featured source-line debugger
* Complete multi-level simulation capabilities
* Optional access to mixed-signal algorithms, hardware
acceleration, and standard board components
* Compatible with the philosophy and scope of the Mentor Graphics
Concurrent Design Environment
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
FFT-523
ARI089400000 Complimentary Vendor
Ariel Corporation
433 River Rd.
Highland Park, NJ 08904
Leslie Listwa (908) 249-2900
Industry:
Electrical/Electronic Eng.\Signal Processing
Mechanical Engineering\Mechanical System Analysis
Complementary Hardware Prod.\Array Processors
Product Description:
The Ariel FFT-523 is a dedicated arrary processor for FFT
processing, delivering the power of a "stand-alone" array processor in a
single HP series 200/300 slot. The dedicated FFT-optimized CPU tansorms
1024 complex points in 9.2 milliseconds. Two or more processors can be
installed in a single host and run in parallel to further increase
throughput. The FFT-523 performs a 16 bit integer FFT on 32 or 1024
data points. Inverse FFT, Power Magnitude Squared and Windowing are all
possible by issuing a single high level command. Humming is standard,
others are available as options. Software is provided to use the FFT
523 under Basic 2.1, 3.0, 4.0 and Pascal 2.1, 3.0 and 3.1. Upgrades to
future operating systems are supplied at no charge. All sources code
for the FFT 523 driver software is included.
The FFT 523 makes time/frequency transformation easy. An FFT is
performed by execution of a single program line in Basic or Pascal.
Disk-based, machine language driver routines, provided with the FFT 523,
make the host/peripheral interface transparent to the user.
Configuration Data:
not available
Pricing Information:
$1600.00
System Information:
HP9000/300 BASIC
HP9000/300 PASCAL
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Falcon Framework
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\PC Board Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Product Description:
Mentor Graphics' Falcon Framework provides a common foundation for
all Mentor Graphics design solutions. It also helps you to integrate
these solutions into your design environment. The result: high-powered
EDA tools coordinated to match your design methodology, including an
effective concurrent design process. The Falcon Framework architecture
provides a graphical, common user interface based on the MOtif standard
from Open Software Foundation, across all supported platforms. It
includes Decision Support System(TM) that assists in the automation of
Concurrent Engineering and design process prototyping, and AMPLE(TM), an
advanced programming language for macros and tool customization. There
is a Design Manager for design navigation, tool invocation, and release
management, as well as a Registar(TM) for registering internal design
tools and data objects in the Falcon Framework. You also get on-line
access to Mentor Graphics' product documentation and your own on-line
documents through the BOLD(TM) Browser and Administrator. FrameMaker
from Frame Technolgoy has also been fully integrated into the Falcon
Framework.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Filter Design System(tm) (FDS)
COM944660000 ISV
Comdisco Systems, Inc.
919 E. Hillsdale Blvd.
Foster City, CA 94404
Fax (415) 358-3601
Industry:
Electrical/Electronic Eng.\Signal Processing
Computer-Aided Test/Lab Auto.\Lab Data Acquisition & Control
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
The Filter Design System (FDS) is a software package for the design
and analysis of IIR and FIR digital filters. FDS is a standalone
companion to the interactive DSP design environment of the Signal
Processing WorkSystem (SPW), which provides graphical capture,
simulation, implementation and testing of DSP and communications systems
design. FDS offers a graphical interface consistent with SPW, a wide
selection of filter design methods, an open architecture, full analysis
capability, coefficient quantization and editing, an ASCII file format
option, and support for polynomial and second order section forms.
Configuration Data:
not available
Pricing Information:
$4,000
System Information:
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
FlexTest and FastScan ATPG
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
Maximize fault coverage and minimize the number of test vectors for
your IC and ASIC designs with new FlexTest and FastScan ATPG tools.
FlexTest and FastScan accepts design from Mentor Graphics, Verilog,
Synopsys and others. FlexTest generates test patterns for sequential,
partial and full-scan designs. Likewise, FastScan accelerates full-scan
test development, developing test vectors for 100,000-gate designs in
less than one hour.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
GBRVU Gerber View/Plot Utility
ASM950660000 Complimentary Vendor
Artwork Conversion Software, Inc.
1320 Mission St. #5
Santa Cruz, CA 95060
FAX (408) 426-2824
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
GBRVU displays and checkplots Gerber photoplot data. The program
is useful for checking the output of PCB and hybrid design software
prior to making board films. GBRVU displays up to 32 layers
simultaneously and supports the usual pan, zoom and navigation commands.
Special features include the ability to emulate photoplotter composite
plots as well as display polygon data filled.
GBRVU includes plot drivers Postscript, HPGL2 and PCL4. The
program is menu driven and requires X-windows.
Configuration Data:
not available
Pricing Information:
$495 on PC; $995 UNIX
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
GDSVU GDSII View/Plot Utility
ASM950660000 Complimentary Vendor
Artwork Conversion Software, Inc.
1320 Mission St. #5
Santa Cruz, CA 95060
FAX (408) 426-2824
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
GDSVU displays and plots GDSII stream data. The program is
intended to aid IC and hybrid mask designers who need a low cost method
of viewing and check plotting GDSII stream data. The viewer supports
the usual pan, zoom land navigation commands. The user can display
selected layers and structures. Boundaries and paths can be displayed
filled or outlined. Illegal boundaries are flagged. Critical data
dimensions can be measured.
Plot output includes HPGL, Postscript and HP LaserJet PCL. GDSVU
is menu driven and requires X windows.
Configuration Data:
not available
Pricing Information:
$495 PC; $995 Unix
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
GDT
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
GDT Designer(TM) is a comprehensive, integrated system for
engineering full-custom ICs and ASIC libraries. Using GDT Designer's
extensive suite of automated tools and module generation techniques, you
can dramatically shorten your time-to-market and increase your design
productivity.
Configuration Data:
not available
Pricing Information:
available upon request
System Information:
HP9000/400 HP-UX
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
GIGA
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
GIGA is a simulator for non-isothernal semiconductor devices. It
is an optional model, for use in conjunction with Silvacos' BLAZE or
S-PISCES simulators. GIGA simulates the effects of heat flow, lattice
heating, and heatsinks.
GIGA is used as an aid in the design and optimization of power
devices fabricated using MOS, bipolar and mixed MOS-bipolar
technologies. Additional applications include characterization of
electo-static discharge (ESI) protection devices and SOL devices thermal
failure analysis, and heatsink design.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Graffy
ASM950660000 Complimentary Vendor
Artwork Conversion Software, Inc.
1320 Mission St. #5
Santa Cruz, CA 95060
FAX (408) 426-2824
Industry:
Electrical/Electronic Eng.\Electro-Mechanical Design
Mechanical Engineering\Mechanical Design
Product Description:
Graffy is a powerful CAD/CAM system based on Hewlett-Packard's EGS
drafting program. Graffy is 100% compatible with EGS binary drawing
files, archieve files and macros. EGS users who wish to migrate to the
HP 700 can move to Graffy and maintain their investment in training and
in-house developed macros.
Using the Motif user interface Graffy provides all needed two
dimensional drafting functions. Many special functions for hybrid and
PCB layout have been added to the original EGS commands. Graffy is
easily customized. Translators for DXF, IGEs, GDSII are available. A
Photoplot/Drill postprocessor for PCB work is also available.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
HDL Compiler(tm)
SYN940660000 ISV
Synopsys
700 E. Middlefield Rd.
Mt. View, CA 94043
Mark Guthrie (415) 962-5000
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
The HDL Compiler family of products enables users to create
high-level specifications of their system using VHDL or Verilog hardware
description languages (HDLs). Combined with the Design Compiler these
products convert HDL descriptions into optimized, technology-specific
netlists in CMOS, BiCMOS ECL, GaAs, or FPGA technologies. HDL synthesis
offers tremendous leverage. Instead of placing and connecting gates
using a schematic editor, which usually takes the longest time in the
ASIC design cycle, a designer uses synthesis to translate VHDL or
Verilog design specifications into an optimized netlist.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
HP DCS Parts Libraries (HP742OL)
GEN017660000 ISV
GenRad
300 Baker Ave.
Concord, MA 01742
Joseph Clark (1-800)-4-GENARD
Industry:
Electrical/Electronic Eng.\Electro-Mechanical Analysis
Electrical/Electronic Eng.\IC Design
Product Description:
Contains symbolic and parametric data for all of the parts used by
the HP Design Capture System.
The HP Design Capture System Parts Libraries include over 5800
digital and 3500 analog parts, total. These libraries are used by the
HP Design Capture System to obtain component symbology, timing, physical
packaging, and other parametric information like load currents
(drive/drain). These libraries are also directly mapped into the system
HILO Simulation models for accurate and transparent interfacing to the
System HILO logic and fault simulators.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
HSPICE
MET950000000 ISV
Meta-Software, Inc.
1300 White Oaks Rd.
Campbell, CA 95008-6723
FAX (408) 371-5638
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Mfg / Ind Specific Solutions\Semiconductor Manufacturing
Product Description:
The HSPICE optimizing analog circuit simulator is Meta-Software's
industrial grade circuit analysis product for the simulation of
electrical circuits in the steady-state, transient, and frequency
domain. Circuits have been successfully analyzed by HPSPICE for RF and
microwave circuits at time intervals below 1 picosecond, and for
frequencies greater than 20GHz. HPSPICE is the result of twelve years
of research and development in circuit simulation software,
incorporating features found in Berkeley SPICE, ASPEC and other circuit
analysis programs, as well as enhancements and features developed at
Meta. The in-house engineering staff at Meta systematically adds new
features and continually refines the existing code. HPSICE is used by
over 300 companies and over 320 universities worldwide. These
institutions have chosen HPSPICE for its enhanced technology coupled
with the economic benefits of vendor supplied software maintenance.
HPSPICE is available on every major platform from the PC386 to the
Cray. The size of the circuits simulated by HPSPICE is limited only by
the virtual memory of the computer being used. For optimized speed
performance, HPSPICE has been vectorized and parallelized for
specialized computers. Recent benchmarks indicate HPSPICE is up to 30%
faster than its nearest competitor for simulating a one MBit DRAM on the
CRAY computer. With interfaces available to major CAD/CAE design tools,
HSPICE provides a key circuit simulation and design solution.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/400 HP-UX
HP9000/700 HP-UX
S/10000 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Hardware Design System(TM) (HDSTM)
COM944660000 ISV
Comdisco Systems, Inc.
919 E. Hillsdale Blvd.
Foster City, CA 94404
Fax (415) 358-3601
Industry:
Electrical/Electronic Eng.\Signal Processing
Computer-Aided Test/Lab Auto.\Lab Data Acquisition & Control
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
The Hardware Design System (HDSTM) is an option to the Signal
Processing WorkSystem(TM) (SPWTM) that lets users account for the
numerical precision of real-world hardware when designing DSP systems.
It can then perform a bit-true simulation and automatically translate
the block level design diagram into a hardware specification or VHDL
description. HDS incorporates software models, subroutines and
libraries that, in conjunction with SPW, let the engineer create a
high-level block diagram specifying the precision of the target
hardware. When the high-level system design is completed, the user can
run a bit-accurate simulation on SPW. If the selected hardware
precision is inadequate, word parameters controlling precision can be
changed quickly and the simulation repeated. The effects of overflow
and loss of precision are also accurately simulated. HDS offers
unlimited fixed point simulation and analysis capability, accommodates
fixed point parameters and data types up to 256 bits in length, has a
detailed set of fixed point library blocks and a documented set of
function calls (C routines) for creating custom models, and includes
libraries of standard DSP part models and DSP chip ALU models.
Configuration Data:
not available
Pricing Information:
$10,000
System Information:
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
HotPlot
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
HotPlot is a high performance IC plotting environment for Versatec
and CalComp plotters. It rasterizes IC designs 5 to 10 times faster
than conventional software. You can also simultaneously spool and
rasterize plot jobs to reduce plotting bottlenecks. HotPlot works from
GDSII stream and Mentor Graphics' Intermediate Plot File formats.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Hybrid Station
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\PC Board Design
Product Description:
Hybrid Station provides the tools needed for designs using single
and multi-layer thick-film and thin-film technologies, as well as
co-fired ceramics. You can use interactive and automatic placement and
routing, including blind, buried, and staggered vias. Hybrid Station
also simplifies layout through automatic resistor generation, support
for creating parts and substrate geometries, and support for chip and
wire bonding. Hybrid Station checks your design for crosstalk and net
lengths, and then generates fabrication, assembly, drawing, Gerber, and
GDSII output data.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Hybrid Thermal
PNU920500100 ISV
Pacific Numerix Corp.
1200 Prospect #300
La Jolla, CA 92037
John A. Roth (619) 587-0500
Industry:
Electrical/Electronic Eng.\Electro-Mechanical Analysis
Electrical/Electronic Eng.\Electro-Mechanical Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
Hybrid Thermal is a fully interactive finite element program
capable of performing thermal and stress analysis on electronic
components such as hybrid circuits and IC packages. Its unique
algorithims and automatic mesh generating capabilities drastically
reduce modeling and analysis time. High accuracy and ease of use make
Hybrid Thermal a necessity in the design of more reliable and efficient
hybrid circuits and IC packages and in thermally characterizing the
components to accurately forecast their thermal performance when they
are placed on a printed circuit board.
Hybrid Thermal performs 3-D transient or steady-state thermal and
structural analysis. It analyzes any shape or size of component
isolated or mounted on a board. Details such as pins, solder posts, air
gaps, adhesives and cavities can be included in the model. It allows
temperature dependent and anistropic material properties and various
boundary conditions including convections, radiation and known
temperature with time dependencies on ambient temperature and power
dissipation.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/800 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
HyperPlot
PTE122660000 Complimentary Vendor
Pinebush Technologies, Inc.
Pine West Two
Washington Ave. Extension
Albany, NY 12205
Robin Warren (518) 452-0927
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Graphics\Graphical Output (plotter)
Product Description:
HyperPlot(TM) is the complete solution to Integrated Circuit (IC)
and PCB plotting. Designed for high performance platforms like the HP
400/700, and compatible with all of the standard electrostatic plotters,
HyperPlot offers the fastest rasterizer available today. HyperPlot
solves the plotting dilemma and increases machine and designer
productivity. With HyperPlot output speeds increase directly with
increases in platform performances, leaves the user no longer tied to a
slow, expensive, and fixed speed hardware rasterizer. HyperPlot accepts
industry standard inputs like GDSII Stream, CIF, MEBES and HP-GL and
offers a host of features like:
* Automatic stripping, paneling and single layer plots
* Windowing, rotation and mirroring
* Plot annotation and automatic legends
* Automatic rotation and fit to form size plots
* Plot scaling by scale factor or plot size
* Variable text font sizes
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
IC Layout Station
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
IC Station is a tightly layout toolset with the flexibility to
handle both full-custom and cell-based designs. IC Station integrates
tools for polygon and symbolic editing, floorplanning, cell and block
design, automatic placement and routing, all-angle compaction, and
on-line verification including design-rule checking, layout-to-schematic
consistency, and parasitic extraction. Interfaces include: GDSII Stream
in and out; DDF netlist; lister procedural interfaces to station
database. IC Station builds on Mentor Graphics' continuous integration
architecture to achieve transparent interfaces with logic design and
simulation tools.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Idea Station
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
For almost a decade, Idea Station has been the industry's most
popular logic design and simulation system - the choice of ASIC and
board designers worldwide. In V8, its integration, interactivity, and
easy-to-use environment have improved to meet the needs of today's
demanding users. Idea Station builds upon Design Architect, for
schematic and VHDL capture and editing, and QuickSim II, our powerful
multi-level simulator. During simulation, the SimView graphical user
interface greatly simplifies debugging. Add the optional System-1076
VHDL simulator and debugger to create a complete top-down design and
simulation system that easily accommodates gate-level schematics
combined with VHDL models. You also get QuickCheck electrical rules
checking and the EDIF Netlist Write Interface for exporting connectivity
data. With all these capabilities, Idea Station becomes your entry to
the world of concurrent design.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
LUMINOUS
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\PC Board Design
Product Description:
LUMINOUS is a new 2D device simulator with special emphasis in
CCD's and photonic devices. It is based on state of the art trap
dymanic equations in addition to the conventional semiconductor
equations. It incorporates advanced thin film optics calculations and
design tools among other new features. Inclusion of the trap dynamics
enables LUMINOUS to accurately simulate the CCD operation in a wide
operation frequency range. Many of the post processing capabilities can
be directly used in design of optical devices.
LUMINOUS can be applied to CCD's, HEMT's, Solar Cells,
Photodetectors, Si or III-V structures, MOSFET, MESFET and
Schottky-semiconductor structures.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Linear Systems Analysis
PAR805400000 ISV
Parametrics, Inc./ESC
PO Box 8035
Loveland, CO 80537
Bill Arrington (303) 667-4030
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\Electro-Mechanical Analysis
Electrical/Electronic Eng.\Electro-Mechanical Design
Product Description:
The Linear Systems Analysis Software greatly simplifies the
analysis and design of single-input, single output, linear,
time-invariant systems. The subprograms are accessed through a main
driver program by pressing the appropriate softkey. Subprograms are
available to tabulate or graphically display systemstep, impulse, and
frequency response characteristics. The frequency response data is
available in both Bode and Nyquist diagram formats. There is also a
subprogram to tabulate or plot Root Loci as well as Step and Impulse
responses.
For input, systems are assumed to be represented by a transfer
function or an interconnection of transfer functions (a block diagram).
Individual transfer functions are input by entering the coefficients of
their numerator and denominator polynomials. Block diagrams are input
by representing all cascade sub-blocks, feedback sub-blocks, parallel
sub-blocks, and simple transfer functions as nodes. The manual presents
the theory of binary tree representations, as well as examples of the
conversion
Configuration Data:
BASIC 3.0 or 4.0 or 5.0. Supports most HP plotters and
printers.
Pricing Information:
Available upon request
System Information:
HP9000/300 BASIC
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Logical Cable
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\Electro-Mechanical Design
Product Description:
Logical Cable automates the design, physical layout, and
documentation of wire, harness, and cable systems. Start with the
Logical Cable wiring connectivity editor to create logical wiring
designs. You can group wires into cables and assign properties, such as
gauge, type, color, insulation, and separation code. You can also
specify shielding. Logical Cable tracks the connectivity between cables
and components and checks for design rule violations. At the end,
you've got your wire list and a database for the Physical Cable
application.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
MARS III Virtual Silicon Series
PDE940660000 ISV
PiE Design Systems, Inc.
757 N. Mary Ave.
Sunnyvale, CA 94086-2929
FAX (408) 738-8853
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
The MARS III Virtual Silicon(TM) product line helps designers
gurantee that their designs work before committing them to silcon.
Designs mapped to MAR III logic emulation system can be verified at near
real-time speeds, making it possible to concurrently develop system
hardware, software and silicon. This concurrent design methodology lets
companies deliver quality products ahead of schedule.
MARS III is targeted for use by central processing unit (CPU),
application-specified integrated circuit (ASIC) and application-specific
standard product (ASSP) manufacturers and end-product system designers
incorporating VLSI circuits in their designs. Key features of MARS III
include: * Emulation capacity up to 8M usable gates
Automatic, timing-guaranteed design mapping
* Extensive, integrated debugging capabilities which provides 100%
design obersvability during emulation
* Automatically compiled, on-and-off-board memory emulation
* Consistently high emulation speeds from 4 to 10 MHz, resulting in
faster verification run-time
PiE's logic emulation system has been used on board spectrum of
designs, such as CPUs, graphics controllers, Ethernet, data compression
chips, workstation chip sets and windows accelerators.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
MASTER
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
MASTER is a framework that provides an automated environment for
Silvaco's semiconductor process and device simulators such as SSUPREM3
and S-PICES etc.. MASTER comprises five modules:
* TonyPlot - Interactive Visulation Tool
* DevEdit - Interactive Device Structure Editor - a structure
editor and meshing tool for generating and modifying device structures.
It generates high quality meshes and supports material and doping
editing at any stage during a simulation.
* DeckBuild - Input Deck Development Environment
* Optimizer - Global Optimization Tool allows versatile
optimization of any process and device parameters.
MaskViews - IC Layout Editor for process simulation - an IC layout
editor simulates devices by combining information from both a process
flow and an IC layout cutline.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
MCM Station
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\PC Board Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Mechanical Engineering\Thermal Analysis
Product Description:
MCM Station(TM) unites the power and convenience of automated tools
with the interactive expertise of the designer for total control of the
MCM design process. It allows you to leverage the high density and high
speed of multichip module (MCM) circuits - thick film, thin film, and
co-fired ceramic. With MCM Station, you can use advanced packaging
techniques: chip and wire bonding, TAB, flip-chip, and SMT;
blind/buried/staggered vias; double-sided assemblies; up to 255 layers;
and solid and gridded power planes. MCM Station integrates powerful
tools customized for the layout, thermal analysis, and signal integrity
analysis of MCMs. It provides automatic and interactive placement and
routing of high-density interconnect. It supports desposited, co-fired,
and laminated MCM processes. It also opens the door to a total system
design capability, including design entry, analog and digital
simulation, custom and ASIC chip design, testing and documentation. MCM
Station is an integrated component of the Mentor Graphics Concurrent
Design Environment(TM).
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
MEDICI
TMO943660000 ISV
Technology Modeling Associates, Inc.
3950 Fabian Wy.
Palo Alto, CA 94303
Milan Lazich (415) 856-8862
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
MEDICI is a device simulation program that can be used to develop
both MOS and bipolar integrated circuits. It determines the
two-dimensional distributions of potential and carrier concentrations in
a device to predict its electrical characteristics for any bias
condition. MEDICI solves Poisson's equation and both the electron and
hole current-continuity equations to analyze devices such as diodes,
bipolar transistors, and effects in which the current flow involves both
carriers such as CMOS latch-up. It can also analyze devices in which
current flow is dominated by a single carrier such as MOSFETs, JFETs,
and MESFETs. In addition, MEDICI can be used to study devices under
transient operating conditions.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
HP9000/800 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
MIXEDMODE
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
MIXEDMODE provides circuit simulation capabilities for advanced
devies. It simulates circuits that include numerical physically - based
devices as well as compact analytical models.
MIXEDMODE is invaluable for simulating high power circuits that use
all power device including diode, bipolar, thyristor, GTO, MOS, and 1GBT
devices.
Circuit elements supported include dependent and independent
voltage and current services, resistors, capacitors, inductors, coupled
inductors, BJT's, MOSFET's, JFET's, MESFET's, diodes and switches.
All commercially available spice models are supported and the spice
input languages is used for circuit specifications.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
MOTIVE
QDT930400000 ISV
Quad Design Technology, Inc.
1385 DelNorte Rd.
Camarillo, CA 93010
Fax (805) 988-8259
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
MOTIVE - Quad Design's MOdular TIming VErifier, is the most
advanced timing verification tool available. MOTIVE identifies all
setup and hold violations in a design, without test vectors, by
exhaustively tracing every signal delay path. Accounting for worst-case
interconnect and signal delays, MOTIVE provides comprehensive timing
analysis on designs ranging from ASICs to systems.
MOTIVE can automatically resolve intentional races, component delay
correlation, signal reconvergence, and arbitrary levels of transparent
latches that other systems pessimistically report as errors. MOTIVE's
robust clock description language can describe multi-phase and
multi-frequency clocks. MOTIVE is a modular tool that can be applied to
ASIC's printed circuit boards, and systems.
With MOTIVE, system timing problems can be corrected early in the
design process, before they become costly manufacturing problems.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
MSS
CMU951660000 ISV
Contec MicroElectronics USA, Inc.
2188 Bering Dr.
San Jose, CA 95131
Michael Smith (408) 434-6767
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
CONTEC's MSS Mixed Signal Simulator analyzes mixed analog/digital
circuits in a single simulator, avoiding the problems of program
interfaces and handling the "unknown" state. MSS uses a unique system
of continuous-state behavioral modeling for digital elements and
behavioral modeling for analog elements. Analog waveforms are available
at all parts of the circuit.
Digital elements are accurately modeled using information generally
available from datasheets or simple Spice analyses. Spice
transistor-level models can be freely intermixed with behavioral models.
Libraries of models for both analog and digital elements are included in
the MSS product.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Manufacturing Advisor/PCB
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\PC Board Design
Product Description:
Manufacturing Advisor/PCB is a Design for Manufacturability tool
developed by Texas Instruments. Starting in conceptual design, and
continuing through schematic capture and PCB design, Manufacturing
Advisor/PCB reviews parts lists to discover problems affecting layout
and manufacturing. In addition to evaluating a design, you can explore
design alternatives with its what-if capabilities. By encouraging
Concurrent Engineering, Manufacturing Advisor/PCB minimizes the back and
forth design flow beween and layout and between engineering and
manufacturing.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Maxwell 3D Field Simulator
ANS152660000 ISV
Ansoft Corporation
4 Station Sq. #660
Pittsburgh, PA 15219
Keith Franz (412) 261-3200
Industry:
Electrical/Electronic Eng.\Electro-Mechanical Analysis
Product Description:
The Maxwell 3D Field Simulator is a dedicated simulation tool for
electromechanical, high-voltage, and magnetic applications. Based on
Ansoft's Maxwell finite-element technology for electronmagnetics, this
product offers 2D, axisymmetric, and full 3D modeling for electrical and
magnetic field applications. Aimed at electrical engineering
applications, the simulator includes a specialized mesh-building system
that renders the FEA process completely transparent to the user. The
Maxwell 3D Field Simulator can link to solid model files generated in
SDRC I-DEAS, Parametric Technology's ProEngineer, EDS' Unigraphics, and
others.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Maxwell Spicelink
ANS152660000 ISV
Ansoft Corporation
4 Station Sq. #660
Pittsburgh, PA 15219
Keith Franz (412) 261-3200
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
Maxwell Spicelink lets engineers evaluate high-speed circuit design
issues involving electromagnetic and transmission line effects by
evaluating physical performance of circuit structures at an IC, PCB,
package, or system level. Maxwell Spicelink ocmputes an efficient
equivalent circuit model for 2D transmission lines and 3D
discontinuities that can be used in SPICE-based modeling of signal
integrity, crosstalk, ground bounce, and propagation delay. The heart
of Maxwell Spicelink is Ansoft's Maxwell electromagnetic finite- element
technology, providing a sophisticated yet easy-to-use field solver,
incuding true 3D modeling. Links to other EDA systems available.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Mentor Interface and Library
XIL951660000 ISV
XILINX
2100 Logic Dr.
San Jose, CA 95124
Amy Chang (408) 559-7778
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Application Dev./Software Eng.\Microprocessor Development
Electrical/Electronic Eng.\Electro-Mechanical Design
Product Description:
Mentor(R) certified schematic and full timing Simulation Interface
and Library allows you to utilize Mentor Graphics CAE products for
schematic entry and full timing simulation and pass the design
information to XACT Design Implementation to complete the design on
XILINX Programmable Gate Arrays.
Configuration Data:
not available
Pricing Information:
$2500.00 DS343-AP1
System Information:
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Modal Analysis
PAR805400000 ISV
Parametrics, Inc./ESC
PO Box 8035
Loveland, CO 80537
Bill Arrington (303) 667-4030
Industry:
Electrical/Electronic Eng.\Electro-Mechanical Analysis
Electrical/Electronic Eng.\Signal Processing
Mechanical Engineering\Mechanical System Analysis
Product Description:
The Modal Analysis Softwre is a program for identifying the
amplitude, frequencies, and phases for the modes that contribute to a
transient or almost periodic signal.
The software uses modern variations on linear prediction and fast
algorithms to identify modes. Once identified, the modes may be used to
construct a rational spectrum model, containing poles and zeros.
Modal Analysis runs on HP-UX on HP series 200, 300 and 700 Mode
parameters are tabulated and plotted on normalized graphs on either the
CRT, HP printers or plotters.
Identify Mode Frequencies:
* Using Linear Prediction
* With or Without Rank Reduction
* With or Without Subset Selection
Identify Mode Parameters:
* Amplitude
* Phase
Display Results:
* Singular Values
* Zeros of Prediction Filter
* Mode Frequencies and Parameters
* Reconstructed Signal
* Pole/Zero Model for Reconstructed Signal
* Spectrum for Reconstructed Signal
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Netlist Products
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\PC Board Design
Product Description:
Move connectivity data into and out of your Mentor Graphics design
database using the Electronic Design Interchange Format (EDIF 2 0 0).
The industry-standard EDIF Netlist interfaces let you exchange
connectivity data with other design systems and databases. You can
connect Design Architect(TM) with the design and simulation
technologies ypu rely upon: Lsim, Verilog, and SPICE (Dracula, 2G.6,
HSPICE, and custom). Configure them for specific formatting
preferences, methodology preferences and library-permitive mapping
issues. And use their incremental netlisting to reduce your design
iteration time. Netlist products include:
* EDIF Schematic Read/Write
* EDIF Netlist Read/Write
* V-Net Netlister
* LsimNet Netlister
* SpiceNet Netlister
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Opus IC Design Automation Software
CDE951660000 Premier ISV
Cadence Design Systems, Inc.
555 River Oaks Pkwy.
San Jose, CA 95134
Pat Sheridan (408)943-1234
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
The Opus IC Design System give designers the most complete and
integrated suit of high-performance tools. More than 40 tools are
included in the Opus IC Design System including: Design Framework,
CAE/CAT, Layout and Verification tools.
The Design Framework architecture is a comprehensive truly open
design system that lets you create a single, consistent, integrated
design environment customized to your specific needs. Through it, you
can access the entire range of Cadence's tools addressing every phase of
the process from design capture to final verification and access third
party design tools.
CAE/CAT provides you with a complete integrated set of tools to
address design entry, logic synthesis, simulation and test needs.
Layout provides you with the industry's widest range and most
productive manual and automatic layout tools for cell and full-chip
design. These tools support different methodologies such as cell-base
gate array and full custom designs.
Verification is used to check correctness of layout. Cadence
offers compatible sets of both integrated and stand-alone tools to
support today's team engineering approach to IC design. Verification
tools are used to minimize the overall cost of locating and correcting
design errors. These tools ensure that prototypes work the first time
and design yields well in manufacturing.
Configuration Data:
not available
Pricing Information:
Contact Cadence
System Information:
HP9000/300 HP-UX
HP9000/700 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
PADS PCB
TTEL6J660000 DAR
The Team Corporation
295 Robinson St.
Oakville, Ontario
Canada L6J 1G7
FAX (416) 842-9195
Industry:
Electrical/Electronic Eng.\PC Board Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\Electro-Mechanical Design
Product Description:
The PADS design system affords the electronic engineer with the
most functional electronic design system available. Full schematic
capture, simulation (analog, digital and mixed mode), PCB layout and
intelligent auto routing are available.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
PADS-PERFORM
PAD014660000 ISV
PADS Software Inc.
165 Forest St.
Marlboro, MA 01752
FAX (508) 486-8217
Industry:
Electrical/Electronic Eng.\PC Board Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
PADS-PERFORM is a CAD tool for designing Printed Circuit Boards.
The user begins by loading a netlist description of the circuit which
could originate from a Schematic Capture tool or a text editor.
PADS-PERFORM has all the tools necessary to take this netlist and create
a PCB. The final output of the system is a complete set of
manufacturing files for artwork (in Gerber or HPGL) and N/C(numerically
controlled) drilling.
A major difference between PADS-PERFORM and other UNIX based PCB
design tools is its simple, integrated user interface. The entire PCB
design process can be executed from within one program. Futhermore,
typical time to productivity is only a few days using the PADS Self
Training Guide. This contrasts with weeks or even months of training
with other UNIX based products.
PADS-PERFORM is supplied with over 7,000 library parts for PCB
design and the integrated library editor allows the user to define
additional components. Other features include: sub-micron database,
T-Routing, Curved Tracks, All angle placement, Copper Pour, Push and
Shove Autorouter, Nudge Placement and Electro-Dynamic Checking.
PADS-PERFORM for the HP is binary level compatible with PADS'
products available on: DOS, Windows, Windows NT, Sun Sparc and SGI.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
PCB EXPLORER
PNU920500100 ISV
Pacific Numerix Corp.
1200 Prospect #300
La Jolla, CA 92037
John A. Roth (619) 587-0500
Industry:
Electrical/Electronic Eng.\PC Board Design
Mechanical Engineering\Thermal Analysis
Product Description:
The PCB EXPLORER allows for highly interactive exchanges of data
among application and design engineers. With the system, engineers can
work in parallel to validate the effects that parts and material
selection have on the performance, reliability, testability
manufacturability of electronic products. Design engineers can increase
their technical awareness and validate each design decision before
taking additional value added steps or passing to other departments and
value added areas. During engineering pre-placement, thermal boundary
conditions as well as routability (Manhattan distance = the sum of the X
and Y distances for a connection) placement constraints are considered
for automatic component placement. Once placement has been validated
electrically, a finite element thermal model is automatically generated
from the placement and board design. Accurate component junction and
board temperatures are generated and fed back into circuit simulators
and reliability programs for final electrical validation.
If any changes are made to the placement during final layout and
routing, the layout can be read-in and final analysis can be performed.
Results can be reviewed and thermal and structural problems can be
identified and corrected at the workstation without interrupting the
design flow.
PCB EXPLORER consists of four programs including PCB Place, PCB
Thermal, PCB Vibration and CAE Packager and can be tightly integrated in
a mixed EDA or homogeneous environment.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
PCB Interface Products
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\PC Board Design
Electrical/Electronic Eng.\Electro-Mechanical Design
Product Description:
Mentor Graphics provides many interfaces to and from their PCB
products, supporting current standards such as IGES, CLAS, IPC-D-350C,
and Gerber. The list of interfaces includes:
* PCB IGES and PCB IGES+
* MIBS, HP ME10>Brd Stn I/F
* Export IPC-D-350C
* Import/Export IPC350C
* ME/IGES
* PCB Mechanical I/F
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
PCB Place
PNU920500100 ISV
Pacific Numerix Corp.
1200 Prospect #300
La Jolla, CA 92037
John A. Roth (619) 587-0500
Industry:
Electrical/Electronic Eng.\Electro-Mechanical Analysis
Electrical/Electronic Eng.\Electro-Mechanical Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
PCB Place is a fully interactive program used to place components
on a printed circuit board utilizing output from schematic design. It
allows electrical engineers to quickly obtain an electrically and
thermally optimized placement by utlizing its powerful automatic and
interactive placement capabilities. With the use of Parasitic
Parameters, PCB thermal and PCB vibration, the placement is validated
electrically, thermally and structurally early in the design cycle. PCB
Place is interfaced with most popular schematic design programs at the
front-end and routers at the back-end.
PAB Place can be also used as a board design tool in selecting
board size, material and thickness, designing cutouts and conduction
cooling strips, and selecting cooling methods, component heat sink sizes
and component mounting configurations. Thru-hole and surface mounted
components can be placed on single and double-sided boards. Its
automatic placement considers various placement constraints such as
permissible component orientation, preplaced components, test points and
reserved areas, and provides a unique way placing certain groups of
components as certain areas based on function, package types, nets and
heights of the components.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/800 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
PCB Thermal
PNU920500100 ISV
Pacific Numerix Corp.
1200 Prospect #300
La Jolla, CA 92037
John A. Roth (619) 587-0500
Industry:
Electrical/Electronic Eng.\Electro-Mechanical Analysis
Electrical/Electronic Eng.\Electro-Mechanical Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
PCB Thermal is a finite element analysis program capable of
detecting and correcting thermal problems in the design of printed
circuit boards. Its specialized algorithms and efficient utilization of
existing CAD data bases drastically reduce model generation and analysis
time. High acuracy and ease of use make PCB Thermal a powerful tool for
designing more reliable and state-of-the-art electronic equipment. It
is designed to be run by both experts and non-experts in thermal
analysis and can be employed in pre-design analysis, right after
component placement, and after completion of final layout by electrical
engineers, reliability engineers, and board designers as well as
mechanical engineers.
PCB Thermal performs 3-D transient of steady-state analysis for
operating and environmental conditions, testing environments such as
fire test, thermal cycling, burn in and in-circuit test, and
manufacturing process simulation such as preheating and soldering. It
analyzes any shape or size of board, any type of component, any type of
mounting configuration, any type of cooling scheme, and heat sinks
attached to components or embedded within the board, and provides
solutions to multiple boards simultaneously.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/800 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
PCB Vibration
PNU920500100 ISV
Pacific Numerix Corp.
1200 Prospect #300
La Jolla, CA 92037
John A. Roth (619) 587-0500
Industry:
Electrical/Electronic Eng.\Electro-Mechanical Analysis
Electrical/Electronic Eng.\Electro-Mechanical Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
PCB Vibration is a fully interactive finite element analysis
program capable of detecting and correcting vibrational and shock
problems in the design of printed circuit boards. Its specialized
algorithms and efficient utilization of existing CAD data base
drastically reduce modeling and analysis time. Electrical engineers as
well as mechanical engineers now have a quick and easy method to
consider vibrational effects of board material and geometry, component
placement and support locations under a variety of static and dynamic
loadings.
PCB Vibration can be employed in static and transient response
structural analyses as well as obtaining natural frequencies of the
printed circuit board. It analyzes any shape or size of board, any type
of loading, any type of base excitation, any boundary configuration, and
any board thickness profile, and allows unlimited number of solutions
time steps for an automatically generated finite element mesh made of up
to 10,000 nodes. It considers structural damping of the board material
and mounted components and contribution of components to the stiffness
of the board.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/800 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
PDQ
QDT930400000 ISV
Quad Design Technology, Inc.
1385 DelNorte Rd.
Camarillo, CA 93010
Fax (805) 988-8259
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
The Pre-route Delay Quantifier, PDQ, is a PCB placement tool that
calculates interconnect length, loading, and delay prior to routing.
PDQ interactively allows component placement and identifies critical
nets before submitting a design to a PCB layout system.
PDQ's transmission line effects analysis calculates the initial
voltage wavestep, and determines which will require reflections to cross
threshold. The initial wavestep and wave propagation effects are
calculated based on the driver characteristics, derated transmission
line impedance, velocity, and length, and component placement. PDQ
identifies and reports critical nets. Placement-induced delay problems
can be identified BEFORE routing, not after prototypes are received.
This ensures fewer design iterations, improved time to market, and
higher quality designs.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
PLDSynthesis II
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
PLDSynthesis II helps you to design virtually any programmable
logix device (PLD) within the Mentor Graphics environment. You simply
enter and simulate your design using any combination of powerful design
entry techniques, such as schematic and state machine. Then the tool
automatically produces optimized solutions from a comprehensive and
up-to-date library of devices. PLDSynthesis II utilizes automatic
device selection, fitting, and multi-device positioning techniques to
present you with a range of viable implementations conforming to your
most important design constraints, such as area and speeds.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 HP-UX
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
PREDICT
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\PC Board Design
Product Description:
PREDICT (Process Estimator for Design of IC process Technologies is
a silicon process simulator. PREDICT was developed to be used in both
process and device engineering in design and production applications.
It is also useful for fundamental research on silicon technologies.
PREDICT is a robust design tool based on tightly coupled simulation
models. It architecture is based on a deep decision tree which allows
models to be used which are only valid over certain ranges of processing
parameters. This approach produces a predictive tool as long as the
model's database leads the user's requirements. PREDICT contains over
1700 models which incude modern process technologies such as rta, rto,
silicidation, preamorphisation and nitridation. Each model has been
verified with PREDICT and reverified after the inclusion of each model.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
PRISM
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\PC Board Design
Product Description:
PRISM (Program for Investigating Semiconductor Models) is a 2-D
device simulator for modelling semiconductor structures with homo-
heterojunctions made of GaAs other AIIIBV compounds and/or silicon.
PRISM is based on hydrodynamic engery balance equations in addition to
Poisson's and continuity equations. The following device applications
can be modeled: * structures with multiple heterojunctions based on Si,
Ge, GaAs, GaAIAs, INGsAs, InAIAs, InP, and other AIIIBV compounds
* heterojunction bipolar devices on Si substrate
HEMTs with heterojunctions on GaAs substrate
* complicated MOS structures including those based on
silicon-on-insulator
* technology (SOI structures and their combinations based on Si,
GaAs, and other AIIIBV compounds).
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
PROMIS-E
ECT530660100 DAR
E.C.T.
14665 W. Lisbon Rd.
Brookfield, WI 53005
Arthur Sawall (414) 797-7844
Industry:
Electrical/Electronic Eng.\Electro-Mechanical Design
Mfg/Support\Factory/Plant Automation
Public Utilities\Project Management
Product Description:
PROMIS-E is a software system for the design and documentation of
control systems. It includes a schematic editor linked to a parts
database, allowing the user to automatically generate support
documentatation as a byproduct of the schematic. This documentation
includes parts lists, terminal plans, purchase order lists, wire labels,
etc. Symbol libraries are available for electrical, process control,
hydraulic, and pneumatic systems. The schematic editor automatically
performs logical functions such as wire numbering and cross referencing.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Parasitic Parameters
PNU920500100 ISV
Pacific Numerix Corp.
1200 Prospect #300
La Jolla, CA 92037
John A. Roth (619) 587-0500
Industry:
Electrical/Electronic Eng.\Electro-Mechanical Analysis
Electrical/Electronic Eng.\Electro-Mechanical Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
Parasitic Parameters is a specialized engineering analysis program
capable of performing 1 and 3 dimensional capacitance, inductance,
resistance, reflection and crosstalk calculations for multi-conductor
and multi-dielectric models. It can be used to effectively solve most
electrical and electronic design problems of multi-layer printed circuit
boards, VLSI and hybrid circuit packages, connectors, multi-layer wires
on IC chips, resistive lines and transmission lines. It provides
built-in interface with Gerber and DXF files and can be interfaced with
CAD systems. Also, its menu-driven modeler with graphical display
capabilities allow users to easily generate and edit complex models.
Parasitic parameters analyzes arbitrarily shaped conductors,
grounds and dielectric interfaces and handles branching currents and
internal cutouts. Resistance and inductance calculations for a 3-D
model can be performed for entire frequency range. The program allows
up to 30 conductors and 30 dielectric materials in a model represented
by up to 5000 boundary elements.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/800 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Physical Cable
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\Electro-Mechanical Design
Product Description:
Using the same connectivity database as Logical Cable, Physical
Cable speeds the physical design of cable and wiring systems. You can
create 3D pathways for cables and assign wires and cables to the paths.
Then Physical Cable calculates wire lengths and bundle diameters for
you. Electrical connectivity is consistently maintained, design rules
adhered to, logical design changes automatically reflected in the
physical design. Once you'vd done, Physical Cable layout mode lets you
generate a bill of materials and assembly and formboard drawings, all
within a common connectivity database.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Power System Analysis Series (PSA)
EIN000400000 ISV
Electrocon International, Inc.
715 W. Ellsworth Rd.
Ann Arbor, MI 48108
FAX (313) 761-8078
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
Electrical Power System Analysis. The Power System Analysis (PSA)
Programs include Power Flow, Short Circuit, Constrained Economic
Dispatch, Transient Stability, and Power Flow and Short Circuit
Reduction modules for analysis, and a One-Line Diagram module for
graphical output of power flow and short circuit results.
PSA can be used in either batch or interactive mode. The Power
Flow uses the Fast Decoupled and Newton-Raphson solution techniques. It
has provisions for multiple nonconnected networks, phase shifters for MW
flow control, LTC's for control of voltage and MVAR flow, control of
area real power interchange, and multiterminal DC lines. The unique
Short Circuit program allows analysis of fully general, simultaneous
faults as well as all the traditional balanced and unbalanced faults.
The Transient Stability module simulates system response to balanced and
unbalanced faults. Sophisticated synchronous machine modeling enables
subtransient effects to be analyzed. The Power Flow Reduction module
uses the Extended Ward method and accepts the same data file formats as
the Power Flow. All modules are available separately.
Configuration Data:
not available
Pricing Information:
$10,000-$42,500 depending on configuration; including training and
installation.
System Information:
HP9000/300 HP-UX
HP9000/400 DOMAIN
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Pre-Route Delay Quantifier (PDQ)
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\PC Board Design
Electrical/Electronic Eng.\Signal Processing
Product Description:
Analyze interconnect delay and verify high-speed digital design
with the Pre-Route Delay Quantifier (PDQ). This placement-analysis tool
calculates interconnect delays of pre-routed PCBs and MCMs, based on
Manhattan distances. To evaluate transmission line effects, PDQ
accounts for all critical factors: device characteristics, line length,
line loading, and board or substrate physical parameters. You can
indentify and correct placement induced problems during the layout stage
and during prototype debugging.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
QuickCheck
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
For error-free designs, use QuickCheck(TM) to perform name
checking, name translation and electrical rule checking, as well as
generate design statistics. This customizable option to Design
Architect(TM) highlights rule violations directly on your schematics.
QuickCheck has two components: a runtime checking system and a rules
compiler. The runtime checking system lets you perform checks within
Design Viewpoint Editor, using rules established by ASIC vendors.
ini-house groups or other third parties. The rules compiler helps you
compile an ASCII rules file into a format that the run time system can
use.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
QuickFault II
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Product Description:
When you need a definitive look at test vector coverage, turn to
QuickFault(TM). Quickfault is a deterministic fault-simulation solution
with 12-state accuracy. Its high-level modeling technology supports
built-in primitives through behavioral and hardware models. The
QuickFault II concurrent fault-simulation algorithm helps reduce run
times, and you can even use LAN acceleration to distribute the
processing load. Its graphical user interface displays results right on
the schematic for fast review and modification of designs and vectors.
QuickFault II complements the QuickGrade II(TM) high-speed fault grader,
delivering the ultimate degree of accuracy you require for today's
designs.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
QuickGrade II
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Product Description:
Built on well-known QuickSim II(TM) technology, the new QuickGrade
II(TM) high-speed fault grader gives you a fast and accurate measure of
test vector coverage. Useful any time during the design cycle,
QuickGrade II applies statistical methods to the results of logic
simulation to generate an accurate list of undetected faults and an
esitmated total fault coverage. It also gives you feedback to help you
develop test patterns and choose test points. Its SimView graphical
user interface displays resuts on your schematic for fast comprehension.
QuickGrade II's patented high-level modeling technology supports
built-in primitives through behavioral and hardware models.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
QuickPath
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
As ASIC and board designs get bigger and faster, you need to
identify and quantify all critical signal paths. The QuickPath(TM)
graphical critical path analyzer supports vector-independent worst-case
timing analysis for ASIC and board designs. Maximize operating speeds
by identifying areas where you can optimize performance. QuickPath
highlights critical paths on your schematic for rapid understanding and
modification. Working within the Falcon Framework(TM) and Idea Station
V8, QuickPath is supported by all Mentor Graphics modeling methods.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
RDAT
RCL940660000 DAR
RCLABS, Inc.
830 W. Evelyn Ave.
Sunnyvale, CA 94086
R.A. Wildman (408) 732-1986
Industry:
Electrical/Electronic Eng.\IC Design
Mfg/Support\Factory/Plant Automation
Mfg / Ind Specific Solutions\Semiconductor Manufacturing
Product Description:
RDAT is a high speed Commoniczyions system which is capable of
transmitting large files of data over common carrier of leased telephone
lines.
RDAT has several levels of error checking and is used to tranmin
error from Copics of I.C. design data and mask making data.
Configuration Data:
not available
Pricing Information:
15K plus hardware
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
S-CREEP
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
S-CREEP is a 2-D Viscous Creep-Flow Simulator for the numerical
modeling of silicon oxidation and silicon isolation technology. S-CREEP
can simulate various types of LOCOS processes, oxidation of silicon gate
and trench structures, creep-flow problems such as glass reflow and
spin-on glass shrinkage processes. The finite element method is used.
S-CREEP incorporates a set of stress-dependent silicon oxidation models
including improved 2-D Deal-Grove and Kao's models. S-CREEP can help to
resolve the problem of spin-on coating profiles over an arbitrary
topography.
S-CREEP's models take into account the effects of crystal
orientation, intrinsic stress in thermal oxidation and the viscosity of
vitreous silica.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/400 HP-UX
HP9000/700 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
S-MINIMOS4
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\PC Board Design
Product Description:
S-MINIMOS4 is a user-oriented software tool for the 2-D numerical
simulaton of planar MOS transistors. S-MINIMOS4 is a fast, accurate MOS
device simulation tool that calaulates the internal MOS device
simulation tool that calculates the internal distributions of
electrophysical parameters and predicts the electrical characteristics
of MOS devices under steady state conditions by the numerical solution
of the fundamental set of semiconductor equations (Poisson's and
continuity equations for electrons and holes).
Sophisticated programming techniques are used which allow
comparatively low computational costs. S-MINIMOS4 is based on the
MINIMOS4 code originally written at the Technical University of Vienna,
Institute of Microelectronics.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
S-PISCES
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
S-PISCES is a general purpose 2-D (two-dimensional) semiconductor
device simulator for silicon technologies. It simulates all structures
encountered in MOS, Bipolar, and BICMOS technologies. It is used in
deep submicron devices, Sol devices and non-volatile memory structures.
It calculates DC, AC and time-domain solutions for general
nonplanar 2-D Silicon device structures. Device structures may be
specified by the user, or by the output of a process simulator such as
Silvaco's SSUPREM4 simulator.
Boltzmann and Fermi-Dirac statistics, and Band-gap narrowing due to
heavy doping are included. It incorporates both drift-diffusion and
energy-balance transport models, and provides several advanced mobility
models.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
SCAP
MGR970660013 Premier VAR
Mentor Graphics Corporation
1001 Ridder Park Dr.
San Jose, CA 95131-2314
Wendy Reeves (408) 436-1500
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
SCAP is the only commercial switched-capacitor simulator that
simulates switched-capacitor circuits with arbitrary and data-dependent
clocks. Designers can use SCAP to simulate circuits such as sigma-delta
modulators and A/D converters. These circuits bring high density and
low sensitivity to process variations in mixed-signal IC or ASIC
applications including instruments, telecom, and signal conditioning.
SCAP:
* simulates switched-capacitor circuits with arbitrary and
data-dependent clocks
* works within Explorer Lsim for mixed-signal, multi-level
simulation with other digital and analog simulation kernals
* analyzes static errors on switched-capacitor networks
* supports switch resistance and provides noise analysis for
switched-capacitor filters
* is full integrated with the Mentor Graphics analog and
mixed-signal design environment
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 HP-UX
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
SCAP
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
SCAP is the only commercial switched-capacitor simulator that
simulates switched-capacitor circuits with arbitrary and data-dependent
clocks. It works within Explorer Lsim for mixed-signal, multi-level
simulation with other digital and analog simulation kernals. Designers
can use SCAP to simulate phase-locked loops, sima-delta modulators and
A/D converters in 1/1,000 of the time required with SPICE-based
simulators. These circuits bring high density and low sensitivity to
process variations in mixed-signal IC or ASIC applications including
instruments, telecom, and signal conditioning. SCAP analyzes static
errors on sseitched-capacitor networks, supports switch resistance, and
provides interactive, graphical noise analysis to greatly improve the
dynamic range of filter designs. Sensitivity analysis an dynamic error
analysis shorten debugging cycles and design turn-around times while
impriving quality and manufacturability. SCAP is fully integrated with
the Mentor Graphics analog and mixed-signal design environments.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
SI
CMU951660000 ISV
Contec MicroElectronics USA, Inc.
2188 Bering Dr.
San Jose, CA 95131
Michael Smith (408) 434-6767
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
Contec's SI signal integrity analysis software analyzes electrical
signals in high-speed PCBs and MCMs, showing signal distortion, ringing
and overshoot caused by reflections and crosstalk, due to impedance
mismatches, improper terminations and mutual couplings. SI analyzes
multiple coupled lossy transmission lines in the time domain and
includes the skin effect. There is no limit on the number of coupled
lines or on the topology of the circuit. SI's 2D field solver generates
the R,L,C and G matrices representing the lines.
SI includes analog behavioral modeling of digital elements for
fast, accurate simulation of entire circuits in any topology. Effective
models can be created from data sheet information and Spice
transistor-level models can also be directly used. Libraries of digital
element models are included.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
SKEMATIX
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\PC Board Design
Product Description:
SKEMATIX is a simple, intuitive, interactive and graphical editor
with a built-in SPICE interface. It supports the standard analog
template library used by most SPICE programs with add on template
ability. It has a fully interactive MOTIF-based user interface and can
run stand alone. SKEMATIX allows an unlimited sub-circuit hierarchy to
be built. This program was developed to support the MASTER interface
which provides a complete integration of process, simulation and design
software.
Through VYPER, SKEMATIX interfaces to UTMOST II, SSPICE and SPAYN;
with products having a common Database and Graphics User Interface.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/400 HP-UX
HP9000/700 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
SL-ARRAY
OBASCHW00000 ISV
Silvar-Lisco
703 E. Evelyn Ave.
Sunnyvale, CA 94086
Eric Geyer (408) 991-6000
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
In order to meet the demands of increasing gate counts and critical
timing constraints, Silvar-Lisco offers the GARDS family of gate array
place and route products. GARDS has features to: control timing,
achieve short run times, and to manage engineering change orders.
GARDS is timing driven; In addition to isolating critical nets,
GARDS allows the user to set timing criteria for all nets in the design.
These timing constraints are then incorporated into both placement and
routing. Timing results are available in both lumped form based upon a
slope timing model, and pin to pin delays, based upon RC simulation.
GARDS place and route redefines speed; Even in designs of 20,000
gates, our CPU time is one-sixth of our competitor's. As the designs
get larger, our linear algorithms give you an even greater advantage.
Our engineering change order capability incorporates incremental changes
into layout without replacing and rerouting the entire chip; valuable
time is saved by eliminating re-simulation.
GARDS creates the design with ease: GARDS new user interface allows
you to perform complex tasks with straight forward pull-down menus.
Advanced utilities, such as clock-tree synthesis, and GEARS (GARDS
engineering access routines, whick allows direct read/write access to
the GARDS' database) are easily accessed.
The GARDS family has three options: GARDS I: Two or three layer
technology with design capacities of up to 25,000 gates.
GARDS II: Two or three layer technology with design capacities of
up to 125,000 gates.
GARDS III: Three layer technology with design capacities up to and
exceeding 300,000 gates.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
SL-CELL
OBASCHW00000 ISV
Silvar-Lisco
703 E. Evelyn Ave.
Sunnyvale, CA 94086
Eric Geyer (408) 991-6000
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
There are three options available in the cell-based category: SC I,
SC II, AND SC III. The SC family offers the next generation of cell
based layout: mastering the automatic place and route of large blocks
and standard cells. The SC family's features: meet your timing
criteria, get the smallest die size, and provide the best quality. In
addition, SC has powerful floorplanning utilities.
SC is timing driven in that every net in the design is given a
min/max threshold, while critical nets can be assigned unique timing
values. All timing information is then interwoven in the placement and
routing process to ensure timing correct layout. RC Delay extraction is
available for back-annotation.
SC provides the smallest Die size: our router is gridless with
on-line compaction and cotouring. And as for quality, our software has
a forward correct methodology. We do not violate design rules, and
correct them later. We do the job right the first time. Quality is
tightly coupled with automation to provide: 100% completion, automatic
routing and width tapering, automatic routing of multiple supply lines.
Through our floorplanner we provide the designer with either
automatic or interactive placement and route. Soft Marco's allow the
designer to group associated logic together. SC also has unlimited
levels of hierarchy.
The SC family has three options:
SC I: Two or four layer technolgy; design capacity up to 5,000
cells per level of the hierarchy.
SC II: Two, Two and a half, Three layer technology; design capacity
of 20,000 cells per level of the hierarchy.
SC III: Two, Two and a half, Three, Three and a half, Four layer
technology; design capacity of 20,000 cells per level of the hierarchy.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/700 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
SL-CUSTOM
OBASCHW00000 ISV
Silvar-Lisco
703 E. Evelyn Ave.
Sunnyvale, CA 94086
Eric Geyer (408) 991-6000
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
PRINCESS, Silvar-Lisco's full-custom layout product, is technology
independent, accommodating MOS, Bipolar, GaAs, Cmos, and Hybrid circuits
of any size. An option to PRINCESS is fully functional on-line Design
Rule Checking (DRC).
PRINCESS has one of the powerful macros languages in the industry.
Also, PRINCESS exclusively provides a wide range of elements: all angle
polygons, real circles, arcs, and arrays.
As with all of Silvar-Lisco's tools, hierarchy is fully supported.
The designer can use schematic, symbolic and black box views. The
hierarchical display depth level can be easily specified.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
SL-DESIGN
OBASCHW00000 ISV
Silvar-Lisco
703 E. Evelyn Ave.
Sunnyvale, CA 94086
Eric Geyer (408) 991-6000
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
SL-DESIGN offers SDSi, a fully open design capture system with
bidirectional EDIF schematic and netlist support. Additionally, SDSi
allows full read/write access to its database. Other powerful features
include on-line symbol generation, on-line macros, and multi-window
editing. Hierarchies and libraries are easily defined by SDSi, to give
the designer a top-down, or botton-up view of the integrated circuit
design.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
SL-SIM
OBASCHW00000 ISV
Silvar-Lisco
703 E. Evelyn Ave.
Sunnyvale, CA 94086
Eric Geyer (408) 991-6000
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
Silvar-Lisco's simulation product line, SL-SIM, offers HELIX, the
most tested architectural simulator available in the market. With HELIX
you can verify your system before you begin the detailed design. HELIX
allows true top-down and bottom-up design methodology. In fact, you can
specify different parts of the system and perform combination and
architecture at a high level, optimizing hardware/software tradeoffs.
Then, because of its ability to correctly model synchronous or
asynchronous systems and to cross phase boundaries independently of the
clock, HELIX virtually guarantees there will be no surprises when you
phototype your ASIC system. Moreover, by using HELIX, the design will
not only be correct, it will also be well documented.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
SL-VERIFY
OBASCHW00000 ISV
Silvar-Lisco
703 E. Evelyn Ave.
Sunnyvale, CA 94086
Eric Geyer (408) 991-6000
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
Silvar-Lisco offers the most versatile and mature verification tool
set in the industry. Through the SL-VERIFY, design errors can be caught
before the costly fabrication process begins.
The SL-VERIFY tool set consists of four products:
* DRC: Design Rule Checker offers a powerful command set algorithms
for versatile functions and block based hierarchivar checking system.
* EVS: Electrical Verification System ensures that the layout is
free of electrical connectivity related errors. Layout versus layout,
layout vs schematic, schematic comparison and verification is also
included.
* MDP: Mask Data Preparation incorporates PG and EBEAM fracturing
algorithms that are reliable and generate the lowest flash counts in the
industry.
* YIELD: The industry unique YIELD software allows the product
engineer to analyze and predict the manufacturing yield of a given
design.
SL-VERIFY supports popular industry standards including GDS II and
EDIF.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
SOLID
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\PC Board Design
Product Description:
SOLID is the most advanced 3-D photolithography simulator,
especially useful when considering modern submicron technologies. SOLID
simulates photolithographic processes based on the new generation of
High Numerical Aperture steppers using g-line, h-line, i-line and eximer
laser illumination. Simulations cover processes based on modern methods
such as Phase Shifting Masks, Transparent Phase Shifting Masks and FLEX.
Aberrations such as astigmatism, coma, distortion, spherical
aberration, and field curvature, of the optical projection system can be
taken into account. Compensation for incorrect setup of the
illumination column, (a tilt of the complete illumination system) is
also taken into account.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
SPAYN
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\PC Board Design
Product Description:
SPAYN is a statistical parameter analysis tool tailored for
circuit, process and device engineers. It accepts SPICE parameters from
UTMOST II, leading software packages for device modeling or from
production line/process/device databases. SPAYN was developed to
discover working relationships among circuit, process and device
parameters. Of particular importance to the production engineer. it has
broader relevance to any field where the determination or dominant
parameters and parameter inter-relationships need to be simplified.
It provides information for Statistical Process Control (SPC)
(scattergram plots, range plots etc.) and is the only known means of
relating process, device and circuit data in a manner useful for
controlling the manufacturing process. SPAYN is automatically
interfaced to UTMOST II and through VYPER it interfaces to SSPICE and
SKEMATIX.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/400 HP-UX
HP9000/700 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
SSAMPLE
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
SSAMPLE is an advanced general purpose 2-D topography simulator
that mimics semiconductor fabrication processes involving deposition,
etching and lithography. SSAMPLE can be used to design and optimize
lithographic processes including optical lithography and electron beam,
icon beam and x-ray lithography. Post processing capabilities have been
significantly improved to now support a wide variety of monitors,
printers, and plotters. SSAMPLE can run stand alone or as part of an
integrated application through the MASTERPIECE interface. SSAMPLE
incorporates phase shifting masks capabilites, CEM and inorganic resist
simulation, photoresist and pre-bake and post-exposure bake, multiple
non-planar layer etch, and 2-D color graphics showing profiles over
time.
Configuration Data:
Contact vendor
Pricing Information:
Contact vendor
System Information:
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/400 HP-UX
HP9000/700 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
SSUPREM3
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
Silvaco's SSUPREM3 simulator is a comprehensive, general purpose
one-dimensional (1-D) semiconductor process simulator used in the
prediction of doping profiles and layer thicknesses.
SSUPREM3 is accurate and extremely fast. It is able to simulate a
complete flow of dozens or hundreds of process steps in a matter of
minutes.
Interfaces to device simulators, such as Silvaco's S-PISLES
simulator, enable simulated profiles to be input for device level
simulation.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
SSUPREM4
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
SSUPREM4 is a state-of-the-art 2D semiconductor process simulator.
It is used in the design, analysis and optimization of semiconductor
fabrication technologies and device structures. It includes a wide
range of advanced physical models for diffusion, implantation and
oxidation modeling.
Features:
* Includes advanced discretization techniques and grid manipulation
algorithmns to reduce simulation times.
* Predict 2 module - The predict 2 module is used for rapic thermal
annealing (RTA) simulation.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Signal Design & Analysis Envir.(TM)(SDA)
COM944660000 ISV
Comdisco Systems, Inc.
919 E. Hillsdale Blvd.
Foster City, CA 94404
Fax (415) 358-3601
Industry:
Electrical/Electronic Eng.\Signal Processing
Product Description:
The Signal Design & Analysis Environment(TM) (SDATM) is a low-cost
alternative for DSP and filter design and analysis projects that do not
require simulation. SDA is standalone software that combines Comdisco
System's Filter Design System (FDS) with the signal display editor of
the popular Signal Processing WorkSystem.
SDA provides signal processing, analysis, generation, editing,
display and capture from external sources, as well as capabilities for
the design and analysis of digital filters. Among its many features are
interactive multiple signal display and editing in color,
user-extendable open architecture, powerful menu-based user macro
facilities, interfaces to instruments and data-acquisition devices, and
a full set of random and periodic waveform generation commands.
Configuration Data:
not available
Pricing Information:
$10,000
System Information:
HP9000/400 HP-UX
S/3500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Signal Processing WorkSystem(R) (SPWtm)
COM944660000 ISV
Comdisco Systems, Inc.
919 E. Hillsdale Blvd.
Foster City, CA 94404
Fax (415) 358-3601
Industry:
Electrical/Electronic Eng.\Signal Processing
Computer-Aided Test/Lab Auto.\Lab Data Acquisition & Control
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
The Signal Processing WorkSystem(R) (SPWtm) is an integrated
software package providing all of the tools needed to graphically and
interactively capture, simulate, test and implement a broad range of DSP
and communications systems designs. SPW represents DSP processing
functions as function blocks (icons), which the user interconnects to
form hierarchical signal flow block diagrams. The ability to link an
entire system to a single symbolic block and nest any number of blocks
within other blocks makes designs of virtually any complexity
achievable.
SPW automatically compiles signal flow diagrams into simulation
programs. Input signals for simulation can be selected from a library
of standard or user-created signals, or captured from external sources.
Signal parameters are easily changed and users can interact with and
control simulations while they are running. Simulation results are
viewable in a variety of formats including FFT plots, eye diagrams,
scatter plots and histograms. SPW has several options, including an
automatic C code generator (CGSTM), and HDS(TM), a system for
implementing DSP designs in ASICs, PCB and other hardware.
Configuration Data:
not available
Pricing Information:
$25,000
System Information:
HP9000/400 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Signals and Windows
PAR805400000 ISV
Parametrics, Inc./ESC
PO Box 8035
Loveland, CO 80537
Bill Arrington (303) 667-4030
Industry:
Electrical/Electronic Eng.\Signal Processing
Electrical/Electronic Eng.\Electro-Mechanical Analysis
Mechanical Engineering\Mechanical System Analysis
Product Description:
Signals and Windows is a menu-driven spreadsheet for the synthesis
and analysis of digital signals and filter sequences can be created and
changed to desired form: filters can be designed to specification,
analyzed, and used to filter sequences; and then sequences, filters, and
their various representation can be graphically displayed
The spreadsheet may be transversed via a two-button mouse and
actions may be performed by the simple pick of the mouse. The graphical
display allows the user to quickly receive timely feedback of the
appropriate actions. Each window can store - * A complex sequence of
numbers, * The coefficients of filter, * The Poles/Zeros of a Digital
Filter, * A Correlation Sequence, * The Reflection Coefficients of an
Autoregressive Digital Filter, * The Complex Frequency Response of a
Digital Filter, * The Complex FFT of a Sequence Signals can be
sythesized and analyzed via generation of schematics sequences can be
cyclicially shifted, time reversed, conjugated normalized, truncated, or
manipulated in more than a dozen ways. Filter Analysis, Synthesis,
Convolition, Correlation, Filtering, Fourier Series, and Spectrum
estimation can be easily performed with the simple pick of the mouse.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
SmartSpice
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Product Description:
SmartSpice is a robust, accurate, user-oriented circuit simulator.
It is a full featured C language implementation of SPICE that comes
equipped with a powerful GUI for extensive post-processing.
SmartSpice supports the following features:
* DC, AC, transient, network, sensitivity, pole/zero, distortion,
and noise analysis.
* Comprehensive simulation model library for all standard
technologies.
* SDL4000 comprehensive compower and model library.
* Powerful wave form management.
* Cadence/viewlogic/mentor interface.
Additional modules:
Interpreter - for custom model development. The interpreter is a
powerful tool that allows users to develop custom models outside
SmartSpice. Particularly suitable for new model development and
university research users define their models as C code that is
interpreted at runtime, without the need for compilation.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Sys. HILO AMD Simulation Models (HP7423)
GEN017660000 ISV
GenRad
300 Baker Ave.
Concord, MA 01742
Joseph Clark (1-800)-4-GENARD
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Product Description:
Contains functional and timing information for AMD parts used by
the System HILO simulators.
These simulation models are written to specific modeling stardards
and then tested, assuring quality and accuracy.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
System HILO HIFAULT Simulator (HP74230)
GEN017660000 ISV
GenRad
300 Baker Ave.
Concord, MA 01742
Joseph Clark (1-800)-4-GENARD
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
Checks the effectiveness of test vectors in detecting potential
faults in a circuit.
Fault simulation checks the effectiveness of test vectors in
detecting potential faults in a circuit. Circuit behavior is examined
in the presence of fault conditions and compared to the operation of the
same circuit without the faults. A difference between the simulation
outputs of the good circuit and the faulted circuit indicites that the
test set is effective in detecting those fault conditions.
The HILO HIFAULT fault simulator employs a unique algorithm called
parallel Value List. This technique combines the speed of concurrent
fault simulation with the optimized memory requirements of parallel
fault simulation. The fault simulator offers a wide range of fault
types for simulation, including struck-at logic high or low on wires,
open and drive faults on terminals, as well as short-circuit faults.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
System HILO Logic Simulation Toolkit
GEN017660000 ISV
GenRad
300 Baker Ave.
Concord, MA 01742
Joseph Clark (1-800)-4-GENARD
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Computer-Aided Test/Lab Auto.\ATE Languages/Tools
Product Description:
The System HILO Logic Simulation Toolkit provides as integrated,
modular set of analysis, verification, and test development tools to
insure high quality in the design and test of digital electronic
products.
A modular architecture allows system HILO to solve a broad range of
IC, PCS, and system design and test problems that cannot be resolved
effectively with any single tool. A user interface integrates all
System HILO application modules, permitting information to flow freely
between chip and board design and between design and test.
With System HILO, chip design, board design, and test development
all take place in parallel. As a result, System HILO users can bring
their products to market faster with higher quality and lower costs.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
S/10000 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
System HILO Logic Simulator (HP7423OB)
GEN017660000 ISV
GenRad
300 Baker Ave.
Concord, MA 01742
Joseph Clark (1-800)-4-GENARD
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
Performs logic functional and timing checks on a digital circuit
design.
As the core of the HP virification software, the System HILO logic
simulator performs logic functionality checks on electronic designs.
Using an event-driven, selective trace algorithm, the logic simulator
enables to perform a thorough analysis of designs in a timely manner.
The simulator effectively supports a broad range of device technologies
including TTL, ECL, MOS, CMOS, NMOS, and PMOS.
A logic strength capability is required to accurately model MOS
bidirectional gates, wired ORs, tristate pullups and pulldowns. The
logic simulator incorporates a five-state, 15-value logic strength
algorithm to address this requirement (Figure 6). The five states that
can physically exist on the output terminal of a circuit element are
STRONG 1, WEAK 1, HIGH IMPEDANCE, WESK 0, and STRONG 0. With this
algorithm, the simulator is able to resolve conflicting drive
combinations, and only resorts to insertin of "X" or "unknown" at points
of equal strength or if timing violations occur.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
System HILO MIL Spec. Models (HP74236E)
GEN017660000 ISV
GenRad
300 Baker Ave.
Concord, MA 01742
Joseph Clark (1-800)-4-GENARD
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Product Description:
Contains functional and timing information for MIL Spec. parts
used by the System HILO simulators.
These simulation models are written to specific modeling standards
and then tested, assuring quality and accuracy.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
System HILO Simulation Models (HP74236F)
GEN017660000 ISV
GenRad
300 Baker Ave.
Concord, MA 01742
Joseph Clark (1-800)-4-GENARD
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Product Description:
Contains functional and timing information for all of the parts
used by the System HILO Simulators.
These simulation models are written to specific modeling standards
and then tested, assuring quality and accuracy.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
System-1076
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
For VHDL power and productivity, System-1076(TM) provides
comprehensive, multi-level simulation, linking schematics, VHDL source,
and simulation results all in one screen. Its full-featured,
source-level debugger graphically highlights all concurrently executing
statements within the VHDL text. As it identifies errors, the compiler
places you at the point in the code at which each error occurred. You
can also modify code during simulation runs, recompile, and reload your
model to quickly perform "what-if" analysis. System-1076 is an option
to Idea Station(TM), Design Architect(TM), QuickSim II(TM), and Mentor
Graphics design synthesis products. The system-1076 compiler and solver
are sold separately.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
THEDA
CAL921660000 VAR
Computervision Corporation
100 Crosby Dr.
Bedford, MA 01730
Al Hopkins (617) 275-1800
Industry:
Electrical/Electronic Eng.\PC Board Design
Electrical/Electronic Eng.\Electro-Mechanical Design
Product Description:
THEDA electronics design automation software is a comprehensive and
integrated solution for designing electronics products.
THEDA Design Desk Framework provides a common user environment that
facilitates access to design data and supports the information
management capabilities needed for simultraneous engineering.
THEDA Design Entry offers a comprehensive engineering solution for
schematic capture, component, board, and system level design.
Framework-based integration of simulation and analysis tools provides a
common methodology for accessing and using vertification tools.
THEDA AUTOBOARD provides consistently fast routing times and high
rates of completion. Design for manufacturability features assure
reliability, testability, and manufacturability.
THEDA is fully integrated with Computervisions CADDS 5 and MEDUSA
mechanical software providing a complete, inter-operable solution for
Mechatronic Design including electronic packaging and wire harness
design.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
THUNDER
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
THUNDER is a 3D general purpose semiconductor device simulator. It
simulates general 3D devices encountered in silicon technologes. It is
applicable to situations where a 3D rather item 2D device description,
is required.
These situations arise for scaled VLSI devices, and when
characterizing effects such as CMOS latchup and single event upset.
THUNDER is supplied with interactive tools for 3D structure
specification and 3D data visualization.
THUNDER includes comprehensive physics, including Fermi- Dirac
statistics, band-gap narrowing, modern surface mobility models, SRM and
Auger recombination impact ionizahon, band-to-band and Fowler-Nordheim
tunneling, hot carrier injection,a nd floating gates.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
TLC
QDT930400000 ISV
Quad Design Technology, Inc.
1385 DelNorte Rd.
Camarillo, CA 93010
Fax (805) 988-8259
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
The Transmission Line Calculator (TLC) is an advanced digital
system simulation tool that calculates and displays effects of
transmission line phenomena on digital signals.
TLC predicts ringing, non-incident switching, undershoot,
overshoot, and time delay for networks of arbitrary topology and
construction, allowing diagnosis and correction before prototyping. TLC
considers both linear and non-linear circuit elements, as well as
impedance discontinuities caused by vias and connectors. TLC performs
this highly accurate analysis with unsurpassed speed, making entire PCB
or system transmission line analysis a reality for the first time.
TLC provides the PCB designer with valuable information needed to
identify signal quality and delay problems before manufacturing costly
prototypes. TLC ensures fewer design iterations, improved time to
market, and higher quality designs.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
TSI 98303 16-bit Real-time Analog
TET943660000 ISV
Tetra Systems Inc.
809 San Antonio Rd.
Palo Alto, CA 94303
FAX (415) 493-7289
Industry:
Electrical/Electronic Eng.\Signal Processing
Complementary Hardware Prod.\DataComm Hardware
Product Description:
The TSI 98303 16-bit Real-time Analog I/0 and DSP Coprocessor
provides a single-board solution for a wide range of signal processing,
control, and multimedia applications. The TSI 98303 features two 16-bit
ADC channels and two 16-bit DAC channels supported by a 40MHz TMS320C25
DSP microprocessor. The analog channels may be configured for unipolar
or bipolar input and output signals, and can accommodate signals from DC
to a maximum sample rate of 200 KHz per channel. Up to 8 MB of
dual-ported RAM allow the host and coprocessor to stream data in and out
without causing discontinuities in the digital I/O stream due to Unix
context switching. The TSI 98303 occupies a single select code in the
host computer's I/O space, allowing it to be used with any Series 300 or
400 workstation. No device drivers or kernel modifications are
required. Unix software for streaming data in and out of the TSI 98303
is bundled with the hardware, along with X11 applications for real-time
scope, spectrum analyzer, and sonogram. Additional object libraries for
FFTs and other signal processing tasks are available from Tetra Systems.
Users may also develop their own microcode for the TSI 98303 using
standard TMS320C25 development tools, including assembler, linker, and C
compiler.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
TSUPREM-4
TMO943660000 ISV
Technology Modeling Associates, Inc.
3950 Fabian Wy.
Palo Alto, CA 94303
Milan Lazich (415) 856-8862
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
TSUPREM-4 is the most accurate two-dimensional process simulator
available today. Implementation of sophisticated numerical models for
oxidation and diffusion allows the detailed study of individual process
steps or entire process receipes.
TSUPREM-4 can model fabricated structures consisting of up to ten
layers. These layers are made up of wide variety of materials,
including silicon, polysilicon, silicon dixoide, silicon niutride,
oxynitride aluminum and photoresist.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
HP9000/800 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Test Compiler(tm)
SYN940660000 ISV
Synopsys
700 E. Middlefield Rd.
Mt. View, CA 94043
Mark Guthrie (415) 962-5000
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
Test Compiler is a test synthesis solution that automates
design-for-test and provides automatic test pattern generation. It
integrates a consideration for testability into the normal design
process and enables a designer to explore trade-offs among speed, area,
and testability.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
TetraSystems DSP/14
TET943660000 ISV
Tetra Systems Inc.
809 San Antonio Rd.
Palo Alto, CA 94303
FAX (415) 493-7289
Industry:
Electrical/Electronic Eng.\Signal Processing
Data Management\Image Tools/Utilities
Product Description:
The TetraSystems DSP/14 adds real-time audio capability to HP
workstations. The DSP/14 is designed specifically for voice bandwidth
signals, and features a 10 MIPS TMS 320C25 signal processing chip
combined with a 14-bit analog-to-digital and digital-to-analog codec
which is programmable for sample rates up to 19.2 KHZ. A convenient
analog interface pod containing a speaker and microphone is provided,
along with X11 applications for digital oscilloscope, spectrum analyzer,
speech sonogram, and audio record/playback utilities. Object libraries
for FFTs and other signal processing tasks are available separtely.
Users may also develop their own microcode for the DSP/14 using an
optional TMS320C25 C compiler. The DSP/14 is well suited for advanced
user interfaces, voice mail, speech analysis, and other real-time audio
tasks.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
The Design Center
MIC927660000 ISV
MicroSim Corporation
20 Fairbanks
Irvine, CA 92718
FAX (714) 455-0554
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
The Design Center - System 1 provides direct program management of
mixed analog/digital circuit simulation with PSpice, including analog
behavioral modeling, Monte Carlo, and sensitivity/worst-case statistical
analyses, fully integrated event-driven digital simulation, simulation
error messaging, graphical waveform analysis, histograms, stimulus
generation, device characterization, and our extensive analog and
digital model libraries (64000+ parts). The Circuit Analysis manual set
(User's Guide, Reference Manual, System Setup Manual) and the second
edition of the book SPICE A guide to Circuit Simulation and Analysis
Using SPice are included.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Transmission Line Calculator (TLC)
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\Signal Processing
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
The Transmission Line Calculator (TLC) simulation tool calculates
and displays the effects of transmission-line phenomena on digital
signals. TLC helps you verify signal quality and identify delay
problems in your PCB or MCM before you build prototypes. It predicts
ringing, non-incident switching, undershoot, overshoot, and time delay
for networksof arbitrary topology and construction. TLC also helps you
to analyze critical clock and backplane signals, view the effects of
tristate bus contention, and evaluate ASIC output drivers.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
UTMOST II
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\PC Board Design
Product Description:
UTMOST II is used for device modeling and characterization. It
provides solutions for circuits and sub-circuit optimization, parametric
testing, process control and yield analysis. Circuits and be simulated
for macro modeling and time domain simulation. All technologies are
supported (bipolar, MOS, GaAs, JFET, diode etc.) and all major libraries
(SSPICE, HSPICE, PRECISE, etc.). Complete AC characterization up to 100
GHz is provided and over 82 instruments are supported. UTMOST II
accommodates Keithley and Avantest instruments in any configuration, ten
probers and multi-target and extensive multi-geometry optimization.
UTMOST II produces accurate SPICE models and process parameters by
integrating data measurement and analysis of circuits. It uses fully
integrated, interactive, schematic enry for efficient macro modeling.
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/400 HP-UX
HP9000/700 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
UTMOST III
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Product Description:
UTMOST III is a software package for semiconductor device
characterization and parameter extraction. It provides powerful device
modeling capabilities and provides fast, and accurate solutions for
spice modeling, circuit optiziation parametric testing, process control
and yield analysis. Multi-Target/Multi-Geometry Optimization can be
performed, with up to 40 different target functions selected for
concurrent optimization. Targets may include ID/VDS, ID/VGS, gm, gas,
BF, for example.
Interfaces to other Silvaco modules include: Interface to
SmartSpice - circuit simulation software - Interface to Skematix - an
intuitive, intuitive, graphical circuit editor. Interrface to SPAYN -
for statistival yield analysis of dominant device parameters.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
V-System VHDL Development Environment
MTE970660000 ISV
Model Technology Incorporated
15455 N.W. Greenbrier Pkwy. #240
Beaverton, OR 97006
FAX (503) 690-2093
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Mfg/Aero/Defense/Govt Contract\All Aero/Defense/Govt Mfg
Product Description:
V-System/Workstation is a full-featured VHDL design package that
includes a compiler, a simulator, and a source-level debugger.
V-System/Workstation is used by electronic engineers to describe and
simulate designs of virtually any complexity without the need for a
schematic capture phase. The total design is described in the IEEE 1076
standard VHDL language. Typical applications include top-level system
design, ASIC design, and VHDL model development. V-System/ Workstation
offers portability, speed, and ease-of-use. Portability - Thanks to
full IEEE 1076 support, and 3,700 language and simulation tests, any
design verified with V-System/Workstation can be used with all other
fully-compliant VHDL tool such as logic snythesizers. Speed -
V-System/Workstation is as fast as or faster than anything else on the
market. Intuitive Design Environment - V-System/Workstation offers a
unique set of views into a VHDL design and its simulation. Designers
can choose: an interactive wave form display with cursor measurements;
tables/lists of simulation results; a hierarchical design structure
view; a window showing the VHDL source code; a display of the signals in
the region or design; a window showing all active or in-region
processes; and a display of the variables in the current process - or
have all on the screen at the same time! Thanks to the V-System
stand-alone approach and Model Technology's emphasis on standards like
OSF/Mofif, V-System/Workstation is the easiest to use VHDL development
system.
Configuration Data:
not available
Pricing Information:
$9,995.00
System Information:
HP9000/700 MS-DOS
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
VHDL System Simulator
SYN940660000 ISV
Synopsys
700 E. Middlefield Rd.
Mt. View, CA 94043
Mark Guthrie (415) 962-5000
Industry:
Electrical/Electronic Eng.\IC Design
Product Description:
VHDL System Simulator is a set of simulation, debugging, and
analysis tools for specifying and verifying electronic systems at
behavioral, RTL, and gate levels. IEEE-1076 VHDL standard support
ensures full design portability. System-level modeling support is
provided through interfaces to hardware modelers, off-the-shelf software
models, or by linking to custom C models and routines.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
VISULA
RRE018660100 Premier ISV
RACAL-REDAC, Inc.
238 Littleton Rd.
Westford, MA 01886
Liz Given (508) 692-4900
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
Racal-Redac's VISULA(TM) EXPERT Series helps systems designers
solve design challenges using the Forward Engineering(TM) methodology.
The toolset brings the system life cycle requirements into focus during
upfront system design and drives downstream processes using constraints
defined by the systems design team. Engineering and Manufacturing then
become part of the overall product development process.
The VISULA(TM) EDA EXPERT Series ASIC design, system design, and
physical layout tools integrated at all levels through a unifying,
object-oriented framework. These products share a common, central
database and applications environment which provides the basis for
defining design and manufacturing constraints to support the Forward
Engineering process.
The EXPERT Series comprises ASIC, SYSTEM and CAD EXPERT.
* VISULA ASIC EXPERT addresses the top/down design of complex ASICs
using VHDL, with integrated testability strategies.
* VISULA SYSTEM EXPERT combines system-level design entry tools
with system-level simulations.
* VISULA CAD EXPERT provides rules driven physical layout tools for
high speed, high density models, (including PCBs, hybrids, MCMs and
HDLs), with integrated physical analysis tools such as thermal and
transmission line analysis.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
S/10000 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
FRD Referenced
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
VYPER
SDA950500200 Complimentary Vendor
Silvaco International
4701 Patrick Henry Dr.
Bldg. #1
Santa Clara, CA 95054
FAX (408) 496-6080
Industry:
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\PC Board Design
Product Description:
VYPER is an integration of UTMOST II, SPAYN, SKEMATIX and SSPICE
which provides a fully integrated solution designed around a MOTIF
interface for desk top circuit design. All the functions of these stand
alone programs can be accessed through one simple menu driven interface
in VYPER. All products have a common Database and Graphics User
Interface.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/400 HP-UX
HP9000/700 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Vantage Spreadsheet
VAN945660000 ISV
Vantage Analysis Systems, Inc.
42808 Christy St. #200
Fremont, CA 94538
Charlie Cheng (510) 659-0901
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Product Description:
Vantage Analysis Systems is the leader in VHDL (VHSIC hardware
Description Language) simulation systems. Vantage is the first CAE
company to develop and deliver IEEE 1076 VHDL in an advanced, realtime
schematic simulation. This enables efficient "What If" analysis,
allowing dozens of design changes to be explored during a single design
session. Real-time schematic simulation is the most significant
improvement to design since the advent of interactive simulation. It
provides faster total design iterations than any other simulation
technique including the use of interpreted VHDL compilers.
Vantage Spreadsheet is supported on HP-PA, HP/Apollo, SUN, and
other workstations. Designs may be directly imported and exported from
Mentor design files or through EDIF.
Configuration Data:
not available
Pricing Information:
$44K
System Information:
HP9000/700 HP-UX
S/4500 DOMAIN
Product Type:
FRD Referenced
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Version 8.0 PCB Design Products
MGR970660000 Premier VAR
Mentor Graphics Corporation
8005 S.W. Boeckman Rd.
Wilsonville, OR 97070-7777
FAX (503) 626-1202
Industry:
Electrical/Electronic Eng.\PC Board Design
Product Description:
Board Station(R) is a correct-by-construction printed circuit
design system that offers world class front-to-back solutions for PCB
design and layout. Board Station accommodates standard and advanced PCB
design technologies such as; Single sided, double sided, multi-layer,
SMT, Chip-on-board, Flip Chip, Blind and Buried, Wire bonding (with
Hybrid option), Flex and semi-rigid circuit layouts. Board Station
offers a highly productive environment which includes a consistent Motif
user interface and rich features sets as; Polygonal placement and
routing boundaries, all angle place and route, simultaneous routing of
multiple wire and clearance widths on a net and/or layer basis,
Automatic and Interactive grid and shape (gridless) based rounting,
complex split power/ground planes and areas fills with automatic
clearance generation, geometric construction for complicated component
and board shapes, automatic double sided component packaging and
placement with gate and pin swapping, Daisy chain net topology (see
Board Station 500 for complete high-speed layout capabilities). Board
Station also provides a broad range of analysis, documentation and
manufacturing capabilities for panelization, drilling, milling,
photoplotting, fabrication, assembly, test, process control, and ASCII
data interface functions. Multi-Chip Modules (MCMs) are also supported
by Mentor Graphics products, see the MCM
Configuration Data:
not available
Pricing Information:
Contact vendor
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/10000 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Waveform Analysis
PAR805400000 ISV
Parametrics, Inc./ESC
PO Box 8035
Loveland, CO 80537
Bill Arrington (303) 667-4030
Industry:
Electrical/Electronic Eng.\Signal Processing
Aerospace\Aircraft/Aerospace Simulators
Aerospace\Military Applications
Product Description:
Waveform Analysis is an invaluable tool for performing time and
frequency domain analysis of single and dual channel waveforms of
signals and systems. Designed by PhDs in Signal Processing, thousands
of users revere it as a must for serious analysis of waveforms at a
reasonable price. For advanced time-domain exploration, the data may be
scanned for noteworthy features, segmented into overlapping blocks and
"windowed" with one of 10 built-in windows or through a customized
window. Biased and unbiased correlation functions for single and dual
channel data may also be computed.
Frequency domain analysis is simple-by using segmented windowed,
and averaged periodograms; or through using correlation, covariance, and
Burg techniques of autoregressive(AR) spectrum analysis. In the
dual-channel mode, cross correlations, cross-spectra, and coherence may
be computed. There are also many altenate analysis techniques
available.
The pack is a menu-oriented, prompt-driven, interactive program
that permits you to select menus, and options within menus, to exercise
the capabilities enumerated above. The manual explains the theory, how
to use the program, and contains examples and references.
Configuration Data:
Basic 3.0, 4.0 or 5.0. Supports most HP printer and plotters.
Pricing Information:
Available upon request
System Information:
HP9000/300 BASIC
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
Workview Series II
VIE017660000 Premier ISV
Viewlogic Systems, Inc.
293 Boston Post Rd. W.
Marlboro, MA 01752
FAX (508) 480-0882
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
Viewlogic specializes in CAE tools for electronic design.
Viewlogic's Workview tools for design entry (schematic and VHDL) and
simulation (analog, digital, mixed a/d, VHDL, fault and system-wide) can
be used for IC, ASIC, PLD, PCB, systems and analog design. Workview
provides innovative front-end tools, offering unique capabilities that
increase designer productivity and shorten the design cycle. Modules
are available for logic synthesis and ASIC retargetting in addition.
Workview excels at ASIC and mixed analog/digital design, system
wide-simulation and is the design standard offered by every FPGA vendor.
Workview's open architecture offers a framework for integrating layout
and other design tools into a cohesive environment. Standard interfaces
to the most popular IC and PCB layout systems are offered. Workview is
offered on both PC's and workstations, enabling users to create the most
cost-effective and efficient environment. DOS and HP-UX versions are
100% compatible. Workview is currently used by over 11,000 engineers at
major companies worldwide. Evaluation copies are available.
Configuration Data:
not available
Pricing Information:
Workview Series II basic package schematics and simulation: $20K
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
XACT Design Implementation Systems
XIL951660000 ISV
XILINX
2100 Logic Dr.
San Jose, CA 95124
Amy Chang (408) 559-7778
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Application Dev./Software Eng.\Microprocessor Development
Electrical/Electronic Eng.\Electro-Mechanical Design
Product Description:
XACT Design Implementation Systems offers a complete solution to
the users of XC2000 AND XC3000 Xilinx Programmable Gate Arrays and is
used in conjunction with your choice of logic entry method. The Xilinx
development system is supported by various third party vendors and
allows schematic, state machine, and palasm form of logic specification.
XACT Design Implementation System allows you to do logic synthesis,
automatic place and route, manual control and fine tuning of placement
and routing, design rule checks, static timing analysis, and LCA
configuration and PROM file generation.
Configuration Data:
not available
Pricing Information:
XACT Design Implementation Systems - $7,950.00 DS501-AP1
System Information:
HP VECTRA MS-DOS
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
XTK
QDT930400000 ISV
Quad Design Technology, Inc.
1385 DelNorte Rd.
Camarillo, CA 93010
Fax (805) 988-8259
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Electrical/Electronic Eng.\PC Board Design
Product Description:
The Crosstalk Tool Kit (XTK) is a suite of network simulation and
analysis tools that both calculate the electrical parameters of
multiconductor configurations, and simulate the interconductor coupling
(noise) generated in complex digital systems.
The Crosstalk field extractor (XFX) module of the tool kit computes
such electrical parameters as dynamic impedance, trace velocity,
capacitance, inductance, and coupling of arbitrary configurations of
dielectrics, XTK can be used to predict system-level noise and
interconnect effects prior to costly prototype fabrication, ensuring
fewer design iterations, improved time to market, and higher quality
designs.
The Crosstalk Network Simulator (XNS) will perform exhaustive
simulation of the effects of crosstalk on digital systems. XNS employs
a discrete time/discrete space simulation approach to accurately
estimate induced noise under all driver and receiver electrical
conditions. XNS produces comprehensive reports and waveform displays.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Electrical/Electronic Eng.
em
SON130660000 ISV
Sonnet Software, Inc.
135 Old Cove Rd. #203
Liverpool, NY 13090
James Rautio (315) 453-3096
Industry:
Electrical/Electronic Eng.\Circuit Analysis/Simulation
Electrical/Electronic Eng.\IC Design
Product Description:
em provides a precise electromagnetic analysis of arbitrary planar
circuits, such as microwave microstrip circuits (MIC's, MMIC's),
integrated circuits and printed circuit boards. The analysis subdivides
the circuit into small subsections and precisely calculates the coupling
between all subsections. All stray coupling, discontinuity effects,
package resonances and losses are included. This allows a planar
circuit to be designed with a single pass, eliminating expensive
re-design, re-fabricated cycles. The analysis allows any number of
layers, any umber of ports, is valid at any frequency, and can model
full 3-D conductors. A mouse based graphical user interface is
included. No electromagnetics background is needed. The residual error
is typically below -50 dB. The analysis interfaces directly with
several major CAE packages.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Math/Statistics
CA-20/20
ISS921500100 Premier ISV
Computer Associates International, Inc.
One Computer Associates Plaza
Islandia, NY 11788-7000
Carla Fitzerald (408) 922-2662
Industry:
Math/Statistics\Data Analysis
Math/Statistics\Statistical Analysis
Product Description:
CA-20/20, the dominant spreadsheet in multi-user environments
integrates graphics , database management and advanced consolidation
facilities. CA-20/20, engineered to support both HP VUE and OSF Motif
GUI's supports multiple spreadsheet windows, mouse, pop-up menus and
softkeys.
CA-20/20 can be integrated with SQL database and other applications
to provide better access to data. Spreadsheet modeling can be
standardized throughout the enterprise because it runs on PC's, minis,
mainframes and workstations. CA-20/20 is a powerful decision support
tool which is, simple to use, easy to learn. CA-20/20's advanced
features include:
1,000x8192 metrix, 90+functions - goal seeking to back solve a
model - integrated control of printer formatting - templates and linked
models - English word commands - full macro facility - seven popular
graph types - sort, select and extract - flexible inport/export
facilities.
CA-20/20 reads writes and consolidates Lotus 1-2-3 worksheets
directly from the spreadsheet. System command allows users to exit to
the UNIX shell to perform other operations.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Math/Statistics
CPLEX Linear Optimizer/Callable Library
COP894660000 ISV
CPLEX Optimization, Inc.
930 Tahoe Blvd. Bldg. 802 - #279
Incline Village, NV 89451-9436
FAX (702) 831-7755
Industry:
Math/Statistics\Linear Programming
Mfg/Process\Scheduling
Mfg / Ind Specific Solutions\Oil/Gas Manufacturing
Product Description:
CPLEX offers next-generation optimization tools for linear program,
mixed integer, and network problems. CPLEX readily solves large
(millions of variables) or difficult problems where other optimizers
fail. CPLEX is used world-wide in a variety of industrial and military
applications including refinery and manufacturing scheduling,
transporation logistics, cash and securitics portolio management, and
network analysis.
CPLEX Linear Optimizer - A robust linear program (LP) optimizer
with superior speed and robustness. Features include interactive or MPS
file input/output, interactive revision, efficient restart from an
advanced basis, sensitivity analysis options and a simple command
structure with an on-line help system.
CPLEX Mixed Integer Optimizer - An extension of the CPLEX Linear
Optimizer accepting general and binary mixed-integer variables -
includes all the features and capabilities of the Linear Optimizer.
CPLEX Callable Library - A library of LP optimization routines
allowing users to "embed" the powerful CPLEX engine within their own
applications. Enables efficient and seamless integration into
user-written programs developed in C, Fortran, or other languages.
CPLEX Mixed Integer Library - An extension of the Callable Library
accepting general binary mixed-integer variables-includes all the
capabilities and routines of the CPLEX Callable Library.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP VECTRA SCO-UNIX
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Math/Statistics
Convex Meta-Series
CON750660000 VAR
Convex Computer Corporation
3000 Waterview Parkway
Richardson, TX 75080
FAX (214) 497-4848
Industry:
Math/Statistics\Math Routines/Libraries
System Management\System Utilities
Utilities/User Interfaces\System Resource Management
Product Description:
The Convex Meta Series is a single system combining Convex's
C-series technology and Hewlett-Packard's PA-RISC technology into a
single high-performance compute engine. The C-series component provices
management of and high speed access to up to terabytes of data, as well
as high performance for highly vectorized applications. The PA-RISC
components deliver high performance for scalar applications, and,
together with Convex's software and hardware interconnects, and engine
for developing coarse-grain parallel applications.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Math/Statistics
ConvexMLIB
CON750660000 VAR
Convex Computer Corporation
3000 Waterview Parkway
Richardson, TX 75080
FAX (214) 497-4848
Industry:
Math/Statistics\Math Routines/Libraries
System Management\System Utilities
Utilities/User Interfaces\System Resource Management
Product Description:
ConvexMLIB is a library of mathematical software routines
specifically designed for extremely high performance on PA-RISC
workstations. Mathematical algorithms have been chosen explicitly to
take advantage of the PA-RISC CPU and cache architecture, and have then
been implemented in PA-RISC assembly language. Standard packages such
as LYNPACK, PAPACK, BLAS, as well as routines for fast fourier
transforms (FFT's), convolutions, and other similar functions are
provided.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Math/Statistics
ConvexNOS+
CON750660000 VAR
Convex Computer Corporation
3000 Waterview Parkway
Richardson, TX 75080
FAX (214) 497-4848
Industry:
Math/Statistics\Math Routines/Libraries
Product Description:
VonvexNQS+ is a batch queueing system based on the de facto
standard NQS. ConvexNQS+ supports these and other features of standard
NQS:
* job routing
* job accounting
* access control
* queue limits
ConvexNQS+ has been specifically enhanced for use in a workstation
cluster environment, and provides capabilities not available in other
NQS+ implementations such as:
* direct remote job submission
* load balancing
* automatic file importing
* demand or "pull" queues (more efficient than "push" queues)
Configuration Data:
not available
Pricing Information:
available upon request
System Information:
not available
Product Type:
------------------------- Start of Article -----------------------------
Math/Statistics
ConvexPVM
CON750660000 VAR
Convex Computer Corporation
3000 Waterview Parkway
Richardson, TX 75080
FAX (214) 497-4848
Industry:
Math/Statistics\Math Routines/Libraries
System Management\System Utilities
Utilities/User Interfaces\System Library Management
Product Description:
ConvexPVM is a parallel programming environment for workstation
clusters, providing an execution environment, source level degugging,
and execution profiling capabilities.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Math/Statistics
E-Z FFT
GSC323500100 Complimentary Vendor
Galileo Scientific
1803 Sage Way Dr.
Tallahassee, FL 32303
Fulvia Pieraccini (904) 562-9789
Industry:
Math/Statistics\Data Analysis
Product Description:
E-Z FFT is a Fast Fourier Transform with the graphical power and
ease of operation derived from E-Z GRAPH III (a graphing routine with
SCROLL/ZOOM, by Galileo Scientific, which is REQUIRED to run). It is an
excellent analytical and tutorial tool, which will make the learning as
easy as the operation for anyone who has never used FFT's before.
This menu-driven stand-alone program, with on-line HELP, will
allow: Time to Frequency and Frequency to Time transformations giving
Real, Imaginary, Magnitude and Power Spectra of the Frequency Domain
data in graphic and tabular form; various filtering operations; removal
fo a DC component; windowing; Network Analysis, calculating the response
of any circuit to any waveform (with BASIC 5.X).
Instant graphical presentation of the data before and after each
option clearly shows how data are modified by each operation, while
SCROLL/ZOOM permits easy, fast, high, accuracy video analysis. Output
is automatically documented with source information, options used, file
name and date, to provide a record of what was done with each graphical
presentation and/or file stored.
Configuration Data:
not available
Pricing Information:
E-Z FFT $125; E-Z GRAPH III $195
multi-copy discount; money-back guarantee
System Information:
HP VECTRA MS-DOS
HP9000/300 BASIC
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Math/Statistics
E-Z Spline
GSC323500100 Complimentary Vendor
Galileo Scientific
1803 Sage Way Dr.
Tallahassee, FL 32303
Fulvia Pieraccini (904) 562-9789
Industry:
Math/Statistics\Data Analysis
Mfg/Process\Financial Accounting Systems
Product Description:
E-Z SPLINE is a SUB-program (to be used in conjunction with E-Z
GRAPH III, a graphing routine with SCROLL/ZOOM by Galileo Scientific)
which will, with a single call, take a user supplied sparse, unordered
set of data, and generate an ordered, evenly X spaced, pair of arrays
(the cubic spline through the original input set) and pass them back to
the calling program. For any X value, the splined Y value can be easily
obtained both numerically (to be passed back to the calling program) and
graphically (for fast, high accuracy video analysis, via SCROLL/ZOOM).
A fully annotaded DEMO program is included, to familiarize the user
with the use of E-Z SPLINE.
Configuration Data:
not available
Pricing Information:
E-Z SPLINE - $70.; E-Z GRAPH III - $195.
multi-copy discount; money-back guarantee.
System Information:
HP VECTRA MS-DOS
HP9000/300 BASIC
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Math/Statistics
Ein*Sight 3.0
OBASBZW00000 ISV
InfoMetrix, Inc.
The Denny Bldg. #833
2200 - 6th Ave.
Seattle, WA 98121
Fax (206) 441-4696
Industry:
Math/Statistics\Statistical Analysis
Chemical/Pharmaceutical\Process Monitoring
Math/Statistics\Data Analysis
Product Description:
Ein*Sight is a statistics package featuring pattern recognition and
exploratory data analysis techniques paresented in a graphical
interface. Look for relationships in your samples by exercising raw and
processed data in a unique four part windowing system with a variety of
state of the art tools. Tabular, rotating 3-D,. 2-D, multiplot,
dendrogram and line plot capabilities are used to explore processed data
from a single step principal component analysis and any one of seven
cluster routines. Simplified methods for outlier detection and
elimination such as point brushing and colorized class variable
assignment, speed the analysis through a recalculation without having to
delete tabular information or tangle with extra file manipulations.
System recommendations: 296 or better with FPU PC/Vectra, 640k
RAM, EGA or VGA color monitor, 5 megabytes of free hard disk space and a
Microsoft compatible mouse.
Compatible file formats include: Lotus 1,2,3 ASCII and, with an
associated file conversion utility, MasterKey, a host of analytical
instrument types. Publication quality monochrome and color graphics
output is available to over 300 different printers and including TIFF,
object and printer file formats for import into other desk top
publication and graphic programs.
Configuration Data:
not available
Pricing Information:
$500 Quantity purchase discounts available upon request
System Information:
HP VECTRA MS-DOS
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Math/Statistics
FIT
GSC323500100 Complimentary Vendor
Galileo Scientific
1803 Sage Way Dr.
Tallahassee, FL 32303
Fulvia Pieraccini (904) 562-9789
Industry:
Math/Statistics\Math Routines/Libraries
Product Description:
FIT is a SUBprogram (sold in conjunction with E-Z GRAPH III) which
provides linear and general nonlinear regression analyses of user data
using least square fitting. With just a few keystrokes, FIT provides
complete tabular output of all input data and regression results, plus
an instant graphical display of the regression curve overlaid with the
input points for an easy estimate of the quality of the fit.
It offers the option of 7 standard linear regression equations for
fitting, plus a general linear option where the user chooses the formula
to be fitted (no derivatives necessary) and makes some initial estimates
of the adjustable parameters.
Features include: any number of independent variables and
ajustable parameters; standard errors or confidence limits for all
adjustable parameters; complete tabular output of data; precision of
convergence for the nonlinear regression and output format control;
interpolation routine.
Optional DRIVER program permits stand-alone operation for keyboard
or file input of data.
Configuration Data:
not available
Pricing Information:
FIT - $70; E-Z GRAPH III - $195; E-Z DRIVER - $25 Multi-copy
discount. Money back quarantee.
System Information:
HP VECTRA MS-DOS
HP9000/300 BASIC
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Math/Statistics
GLOBAL
FEN947660000 ISV
Financial Engineering Associates, Inc.
2511 Channing Wy. #200
Berkeley, CA 94704-2314
Tracie Rowson (510) 548-6200
Industry:
Math/Statistics\Math Routines/Libraries
Product Description:
GLOBAL is a set of spreadsheet add-in functions which compute
theoretical values and associated risk measures with respect to options,
futures, and other derivative products on global commodities
(currencies, energy, metals, agricultural commodities, broad-based stock
indices and the like). Although not specifically designed for such,
users report good success using GLOBAL for approximations on interest
rate and dividend paying equity options. GLOBAL is available for use
with Lotus 1-2-3 and Wingz spreadsheets for HP 9000/700 and 9000/800
platforms and for MS/DOS, Lotus for Windows and Excel for the HP Vectra
PC.
GLOBAL first handles European and American style options with
implied volatilities, flows, forwards and futures. GLOBAL second has
functions for average-price, knockout and lookback options. GLOBAL
third has functions for average-strike, compound and dual-asset options
including spread and quantos options. GLOBAL fourth focuses on digital
and binary options. GLOBAL comes with an installation guide, user
manual, release media and quick-reference sheet. Output includes
theoretical value, delta, gamma, theta, vega, rho, lambda, and charm.
Configuration Data:
not available
Pricing Information:
$1000 - $6000 one-time license fee per module
System Information:
HP VECTRA MS-DOS
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Math/Statistics
IMSL Libraries (MATH/STAT/SFUN LIBRARY)
IMS770500100 Premier ISV
Visual Numerics Inc. (VNI)
9990 Richmond, #400
Houston, TX 77042
FAX (713) 781-9260
Industry:
Math/Statistics\Math Routines/Libraries
Math/Statistics\Statistical Analysis
Math/Statistics\Data Analysis
Product Description:
With nearly 800 FORTRAN subprograms, the IMSL Libraries embody a
comprehensive selection of mathematical and statistical subprograms.
The IMSL Libraries save time and money in application program
development by providing complete, tested, FORTRAN subprograms. The
Libraries' dependable numerical techniques also enhance accuracy and
robustness.
MATH/LIBRARY contains nearly 500 subprograms for applications in
engineering and the sciences, and include capabilities for: linear
systems, eigensystem analysis, interpolation and approximation,
integration and differentiation, differential equations, transforms,
nonlinear equations, optimization, basic matrix/vector operations, and
utilities.
SFUN/LIBRARY has over 170 callable subprograms for evaluating
special functions including fundamental functions, trigonometric and
hyperbolic functions, exponential integrals and related functions, gamma
and related functions, error and related functions, Bessel functions,
Kelvin functions, Bessel functions of fractional order, elliptic
integrals, Weierstrass elliptic functions, probability distribution
functions, and inverses.
STAT/LIBRARY is a comprehensive statistical resource that offers
over 400 subprograms for such areas as: regression, correlation,
analysis of variance, categorical and discrete data analysis,
nonparmetric statistics, test of goodness of fit and randomness, time
series analysis and forecasting, covariance structures and factor
analysis, discriminant analysis, cluster analysis, survival analysis,
life testing and reliability, multidimensional scaling, density and
hazard estimation, line printer graphics, probability distribution
functions and inverses, random number generation, utilities, and
mathematical support.
Configuration Data:
not available
Pricing Information:
Available upon request. Paid-up and annual licenses are available.
Educational discounts where applicable.
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Math/Statistics
IMSL Libraries Interactive Docu Facility
IMS770500100 Premier ISV
Visual Numerics Inc. (VNI)
9990 Richmond, #400
Houston, TX 77042
FAX (713) 781-9260
Industry:
Math/Statistics\Math Routines/Libraries
Math/Statistics\Statistical Analysis
Math/Statistics\Data Analysis
Product Description:
IMSL's interactive documentation facility provides online access to
IMSL's documentation for MATH/LIBRARY, SFUN/LIBRARY, and STAT/LIBRARY.
Through either a menu or command interface, the documentation facility
enables quick and accurate selection of the appropriate subroutine from
the nearly 800 available in the IMSL libraries. The menu interface
offers selection criteria, which enable you to easily narrow the scope
of your request and retrieve the most appropriate subroutine. Bypassing
the menu prompts, the command interface feature of the documentation
facility allows you to directly input your selection criteria.
Installing IMSL's interactive documentation facility makes it possible
for IMSL libraries documentation to be available to all users
economically and efficiently.
Any part of the online documentation can be directed to an output
file. For example, capturing the sample program provided with each
subroutine in an output file would allow you to execute the example
without rekeying. Directing the body of the documentation to an output
file or to a printer can be useful in documenting programs that use the
IMSL libraries.
Configuration Data:
not available
Pricing Information:
Available upon request. Site licenses and 40% educational discount
are available.
System Information:
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/400 HP-UX
HP9000/700 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Math/Statistics
InStep 1.0
OBASBZW00000 ISV
InfoMetrix, Inc.
The Denny Bldg. #833
2200 - 6th Ave.
Seattle, WA 98121
Fax (206) 441-4696
Industry:
Math/Statistics\Data Analysis
Chemical/Pharmaceutical\Process Monitoring
Mfg/Process\Process Control Monitoring
Product Description:
InStep is designed for routine laboratory applications and on-line
analysis dealing with quality control and process monitoring issues.
Categorical and property predictions are made using K Nearest Neighbor,
SIMCA, Principal Component Regression and Partial least Squares
Regression based on a Pirouette model. Three features make InStep
unique:
First - is the ability to quickly and easaily create an expert
system around a set of multivariate models. This is accomplished with a
macro programming language that is simple to use but will allow you to
build even complex, sequential models if the application warrants.
Second - written for Microsoft Windows allows the use of the program
simultaneously with instrument data acquisition software. InStep
supports the standard Microsoft Dynamic Data Exhange, allowing it to run
in real time.
Third - InStep will allow the generation of custom reports either
as tabular data or control charts. Charts can be displayed on-screen or
ON THIS PAGE **** NO PREVIOUS ERRORS
printed. Results can also be written to a file or handed off to control
software for important decision making procedures.
System recommendations: 386 with FPU ro 486 PC/Vectra, 4 megabytes
of RAM recommended, 5 megabytes of free hard disk space. Microsoft
Windows 3.1.
Configuration Data:
not available
Pricing Information:
$800 Quantity purchase discounts available upon request
System Information:
HP VECTRA MS-DOS
Product Type:
------------------------- Start of Article -----------------------------
Math/Statistics
KORBX - Advanced Mathematical Prog. Sys.
ATX079660000 VAR
AT&T
1 Oak Way
Berkeley Heights, NJ 07922
FAX (908) 771-4313
Industry:
Math/Statistics\Linear Programming
Math/Statistics\Math Routines/Libraries
Product Description:
The KORBX software is a premier high performance mathematical
programming system designed to help decision makers solve complex
opertional and resource allocation problems. AT&T's powerful, cost
effective, commercially proven and easy-to-use interior point technology
can dramatically improve the efficiency and expand the capabilities of
current decision support systems.
Written entirely in C and Fortran 77, the KORBX System delivers
superior performance accoss a broad range of problem sizes. Software
features include:
* Cholesky Solvers: Primal Dual and Dual Power Series utilizing
direct factorization techniques for high performance and robustness
* Dual Conjugate Gradient Solver: a unique implementation designed
for ultra high performance on large problems
* Separable Quadratic Solver
* Preprocressor, Linear Equation Solve, and Report Writer
User flexibility and ease-of-use are enhanced with the
implementation of a subroutine callable library and the availability of
source code. The KORBX System's modular archiecture provides
flexibility to match varied needs and includes a series of trial
packages for customers requiring an in-house technical evaluation.
Support is provided by a dedicated team of operations research
specialists and computer scientists including installation, training,
documentation, and comprehensive maintenance plans.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Math/Statistics
MATRIX Binary MB203, MB204, MB305
INF928400100 Complimentary Vendor
Infotek Systems
1120 Spring St.
Klamath Falls, OR 97601-4201
FAX (503) 883-8042
Industry:
Math/Statistics\Math Routines/Libraries
Languages/Operating Systems\BASIC Language
Product Description:
Infotek's MB binaries for HP BASIC increase the execution speed of
all BASIC MAT statements. Operations such as MAT Sort execute up to 3
times faster than with the HP MAT Binary.
Configuration Data:
not available
Pricing Information:
$250.00
System Information:
HP9000/300 BASIC
Product Type:
Listed
------------------------- Start of Article -----------------------------
Math/Statistics
MATRIXx
INT950660000 ISV
Integrated Systems Incorporated
3260 Jay St.
Santa Clara, CA 95054-3309
Dennis O'Donnell (408) 980-1500
Industry:
Math/Statistics\Linear Programming
Computer-Aided Test/Lab Auto.\Control System Analysis
Mechanical Engineering\Dynamic Analysis
Product Description:
The MATRIXx family includes all linear system analysis functions,
linear algebra, interactive classical and modern control design,
conversion between model forms, and computation of time and frequency
responses. Complete engineering graphics capabilities are provided for
Visually interpreting large amounts of data as well as the output of
linear simulations. The graphics functions support plotters and laser
printers for report-quality hardcopy.
Extensive programming capabilities are provided in the MATRIXx
command language. Macros, user-defined functions and user-defined
commands are easily created, used in a session and saved for later use.
For most scientific and engineering applications, the MATRIXx command
language is a high-level replacement for FORTRAN programming.
The basic MATRIXx software supports the additional ISI products:
System indentification, Digital Signal Processing, and Robust Control
Modules, SystemBuild and AutoCode.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Math/Statistics
ML/e
CRE037660000 Complimentary Vendor
Creare Inc.
P.O. Box 71, Etna Rd.
Hanover, NH 03755
Fax (603) 643-4657
Industry:
Math/Statistics\General Engineering Math
Math/Statistics\Data Analysis
Product Description:
ML/e is a powerful and efficient computation, graphics, modelling,
and numerical analysis system of great interest to scientists and
engineers.
* ML/e is an intuitive "ready to use" computation system for the
user who wants quick results for a few minutes of effort.
* ML/e is a programmable, extendable software tool for the user who
wants to build sophisticated computation "laboratories" that are
self-contained or that incorporate diverse bodies of pre-existing
software.
ML/e is compatible with the Creare IDARS and Scanalyzer software
systems.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Math/Statistics
Mathcad
MAT021660000 Complimentary Vendor
MathSoft, Inc.
201 Broadway
Cambridge, MA 02139
FAX (617) 577-8829
Industry:
Math/Statistics\General Engineering Math
Math/Statistics\Math Routines/Libraries
Math/Statistics\Data Analysis
Product Description:
Mathcad is the award-winning technical calculation software that
allows users to easily perform complex mathematical calculations and
then to document and graph the results. It offers engineers, scientists
and others an interactive, intuitive and easy-to-modify alternative to
spreadsheets, calculators and programming.
Mathcad is an electronic whiteboard that allows you to use standard
math notation while performing serious numeric and symbolic math
calculations. "What if" analyses are effortless using Mathcad's live
document interface (TM). Change a variable or value of a constant and
the dependent equations and graphs in your document are update
automatically.
MathSoft Electronic Book provide invaluable add-on capabilities
that can be integrated directly into Mathcad documents. Standard
reference information, equations and specialized functions can all be
integrated with a click of a mouse, instantly improving productivity.
Mathcad's built-in floating license manager lets you easily install
Mathcad directly onto your network. You decide how many simultaneous
users you want to have access to Mathcad.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Math/Statistics
Mathematica
WRE618400000 ISV
Wolfram Research, Inc
100 Trade Center Dr.
Champaign, IL 61826
Zoe Penny (217) 398-0700
Industry:
Math/Statistics
Mechanical Engineering\Mechanical Design
Education/Colleges\Statistics
Product Description:
Mathematica is a complete system for mathematical computation that
can be used by researchers, students, engineers, analysts and others
both as an interactive calculational tool, and as a programming
language. Mathematica does numerical, graphical and symbolic
calculations. Its numerical capabilities include arbitrary precision
arithmetic, as well as special function evaluation and matrix
manipulation. Mathematica can also do symbolic computation: it can
manipulate formulas directly in algebraic form, performing such
operations as symbolic equation solving, integration, differentiation,
and power series expansion. Mathematica contains a core of mathematical
knowledge, which can be extended by creating programs; in the
Mathematica language. The language incorporates several hundred
primitives for numerical, symbolic and graphical programming.
Mathematica's extensive graphics capabilities allow it to generate
two-dimensional plots, and shaded color three- dimensional pictures.
Mathematica generates all graphics in POSTSCRIPT. New in Mathematica
2.0, are 283 functions including differential equations, sound
generation, compilation, symbolic execution tracing, and enhanced
graphics. Mathematica can produce input for C, Fortran, TeX and a
number of other standard systems. Built into Mathematica are mechanisms
for interfacing to external processes running under the UNIX operating
system. Requirements for MS-DOS systems: 640K conventional memory and
IBM extended memory required. supports CGA, EGA, VGA, Hercules, and
8514 graphics standards; PostScript, LaserJet, Epson FX, and Toshiba P3
printers, and Encapsulated PostScript form. Requirements for UNIX
systems: Supports X Windows. For Series 300, requires HP ID Module.
Distributed on HP 16 TRACK 1/4" tape. Mathematica is a registered
trademark of Wolfram Research, Inc.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Math/Statistics
Metrica
LTE018660001 Complimentary Vendor
Leading Technology Inc.
711 Old Ballas Rd. #110
St. Louis, MO 63141
FAX (314) 432-8393
Industry:
Math/Statistics\Data Analysis
Mfg / Ind Specific Solutions\Semiconductor Manufacturing
Telecommunications\Telecommunications System Mgmt
Product Description:
Metrica is a data management, analysis and visualization package
for engineers and scientists building integrated systems in test and
measurement environments. Metrica's high-speed RDBMS stores large
volumes of measurement data from a variety of sources including
Hewlett-Packard instrumentation, and serves it to integrated graphics
and data analysis tools. Metrica provides straightforward, interactive
tools to extract information from large data sets to help users make
business and engineering decisions.
Metrica offers:
* accessible database information (database size, type and value of
data, etc.)
* analytic functions (including statistical and signal analyses)
* a user-definable function utility
* customizable tables, charts, reports, and graphs
* a 4-GL tool for building custom data analysis applications
A point-and-click graphical user interface makes Metrica easy to
learn and use. On-line help is available throughout the system.
Programmatic interfaces available for C, Pascal, Fortran, and HP-Basic.
Metrica is used in semiconductor testing, telecommunications network
performance analysis, aerospace flight testing, automobile testing and
other applications.
Configuration Data:
not available
Pricing Information:
$6,125.00 per seat for a 4-user system
OEM and VAR terms available - Run.Time pricing available
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Math/Statistics
ModelSet
FEN947660000 ISV
Financial Engineering Associates, Inc.
2511 Channing Wy. #200
Berkeley, CA 94704-2314
Tracie Rowson (510) 548-6200
Industry:
Math/Statistics\Math Routines/Libraries
Product Description:
ModelSet is a library of scientific subroutines that compute the
theorectial values and associated risk measures with respect to options,
futures, and other derivative products on global commodities
(currencies, energy, metals, agricultural commodities, broad-based stock
indices etc.) options. Although not specifically designed for such,
users report good success using ModelSet as approximations for interest
rate and dividend paying equity options etc.
A full range of second generation (exotic) options are offered
including average-price knockout, lookback, compound, spread, kickin,
COD and average-strike options as well as first generation options and
hedge instruments. All the models are fully integrated and compatible
with one another. The modules are designed for use in a high-speed,
production-oriented trading environment, in which substantial
programming support is available for building applications.
Alternatively, applications compatible with ModelSet are available from
various systems integrators and turnkey system providers (information
upon request). HP Unix platforms supported include 9000/700 and
9000/800. The HP Vectra PC running MS-DOS is also supported.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Math/Statistics
Novell
MIN440660000 DAR
McHale US Connect
31200 Bainbridge Rd.
Solon, OH 44139
Fax (216) 487-0566
Industry:
Math/Statistics
Mfg / Ind Specific Solutions
Transportation
Product Description:
Established in 1983, McHale US Connect Systems provides high end
systems integration and network management solutions. McHale US Connect
Systems has the expertise to design, implement and support enterprise
wide networking solutions for multi-site companies. Our experience has
merited a place on LAN magazines top 100 Lan systems integrators.
McHale US Connect Systems provides services and products in in the
following areas:
* Client/server network design
* Right sizing consulting
* Lan/Wan design
* Object oriented programming
* Application-database development
* Project management services
* Application design
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Math/Statistics
Numerical Analysis Library
PAR805400000 ISV
Parametrics, Inc./ESC
PO Box 8035
Loveland, CO 80537
Bill Arrington (303) 667-4030
Industry:
Math/Statistics\Math Routines/Libraries
Product Description:
Transform Problems Into Answers Literally in Minutes. Save time,
money, and frustration by taking algorithms from some of the most useful
time tested set of Numerical Analysis algorithms that exist. The
Numerical Analysis pack provides 57 commonly used numerical analysis
routines which can be quickly called up as you need them.
Each routine can be thought of as a black box having one or more
input and output parameters. You needn't be concerned with what is
happening inside the box - only how to call it from your applications
program or with the provided stand-alone drivers.
The main catagories of routines are as follows:
* Root Finder - Ordinary Differential Equations
* Interpolation - Linear Algebraic Systems
* Integration - Fourier Analysis - Eigen Analysis
* Hypergeometric, gamma, and complex number manipulations and
polynomial evalutions.
The Numerical Analysis Library comes on 2 floppies with a 200 page
comprehensive manual including step by step user instruction on how to
use the modules stand alone or integrated in your own software package,
full explanations of each variable, examples, methods and formulae,
theory, references, special considerations and programming hints.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 BASIC
Product Type:
------------------------- Start of Article -----------------------------
Math/Statistics
Pirouette vl.l
OBASBZW00000 ISV
InfoMetrix, Inc.
The Denny Bldg. #833
2200 - 6th Ave.
Seattle, WA 98121
Fax (206) 441-4696
Industry:
Math/Statistics\Statistical Analysis
Chemical/Pharmaceutical\Process Monitoring
Math/Statistics\Data Analysis
Product Description:
Pirouette is state of the art interactive software for performing
and viewing multivariate statistical analysis. Some typical
applications include, speciation of bacteria with chromatographic
methods, prediction of octane number by using Near IR spectroscopy,
determining alteration of food products, clasification and prediction of
product quality, oil field exploration, human and ecological forensics.
* Major statistical methods: Data exploration - 7 cluster
algorithms and Principal - Component Analysis
* Class modeling - K Nearest Neighbor and SIMCA - class modeling
* Regression modeling - Principal component - Regression and
Partial - Least Squares Regression
Grahpics: Provided in a windowing style interface with interactive
scientific visualization techniques. 2D Scatter - 3D Rotatable Scatter
- Point Labels - Color Categories Linking - Dendrograms - Line Plot -
Multiple 2D Scatter - Plot Arrary - Zoom - Point Selection and more.
System recommendations: 386 with FPU or 486 PC/Vectra, 4 megabytes
of RAM recommended, 5 megabytes of free hard disk space. Microsoft
compatible mouse.
Compatible file formats include: Lotus 1-2-3 and ASCII. Many
instrument company file formats are also available for the major
spectrometer and chromatography system manufacturers. Publication
quality graphics output is available to over 300 different print devices
including color tiff.
Configuration Data:
not available
Pricing Information:
$4,000 Quantity purchase discounts available upon request
System Information:
HP VECTRA MS-DOS
Product Type:
------------------------- Start of Article -----------------------------
Math/Statistics
RS/1
BPR022500100 Premier ISV
BBN Software Products
150 Cambridgepark Dr.
Cambridge, MA 02140
Susan Cohen (617) 873-4857
Industry:
Math/Statistics\Data Analysis
Data Management\Data Retrieval/Reporting
Mfg/Aero/Defense/Govt Contract\All Aero/Defense/Govt Mfg
Product Description:
RS/1 software is the industry-leading software for technical data
analysis. A fully integrated system that combines a comprehensive array
of functions in an easy-to-use environment, RS/1 software meets the
special needs of technical professionals in a wide variety of industries
and activities.
Features include:
* Statistics
* Curve fitting
* Graphics
* Modeling
* Report generating
* Powerful custom programming
Engineers and scientists around the world use RS/1 software in
applications ranging from research and product development to
manufacturing quality control and process improvement.
Leading industrial companies that recognize manufacturing
efficiency as a critical competitive advantage use RS/1 software
throughout the product development and manufacturing cycle. No other
tool can match the RS/1 system's ability to:
* Facilitate research * Reduce development * Increase process
yields * Improve product quality.
Configuration Data:
not available
Pricing Information:
One time license fee; retail price available upon request.
System Information:
HP VECTRA MS-DOS
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Math/Statistics
RS/1 Software
BPR022500100 Premier ISV
BBN Software Products
150 Cambridgepark Dr.
Cambridge, MA 02140
Susan Cohen (617) 873-4857
Industry:
Math/Statistics\Data Analysis
Data Management\Data Retrieval/Reporting
Mfg/Aero/Defense/Govt Contract\All Aero/Defense/Govt Mfg
Product Description:
RS/1 software is a comprehensive statistical, data management, data
analysis and decision support tool specifically designed for
engineering, manufacturing and scientific applications. Users benefit
from detailed data analysis results because RS/1 is a fully integrated
system that combines a comprehensive array of functions is an
easy-to-use environment that features an OSF/Motif Graphica User
Interface and an interactive X-Window System table editor. The system
integration features of RS/1 software include a built-in programming
language and easy access to external data sources.
RS/1 software is designed to enhance the productivity of technical
professionals, engineers and scientists. From integrated circuit
production and mechanical engineering to laboratory automation and
quality control, no other tool matches the RS/1 system's ability to
facilitate and improve manufacturing yields - all leading to increased
competitive advantage and profitability.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Math/Statistics
RS/Decision Software
BPR022500100 Premier ISV
BBN Software Products
150 Cambridgepark Dr.
Cambridge, MA 02140
Susan Cohen (617) 873-4857
Industry:
Math/Statistics\Data Analysis
Artificial Intelligence\Expert Systems
Mfg/Aero/Defense/Govt Contract\All Aero/Defense/Govt Mfg
Product Description:
RS/Decision software is an expert system shell fully integrated
with the industry standard RS/1 data analysis system for developing
integrated, plant-wide quality manufacturing and engineering
applications.
RS/Decision software provides manufacturing and engineering
professionals with practical expert system development tools for
decision support. RS/Decision software assists the capture and
automation of expertise - without extensive AI experience. The
RS/Decision shell is easy to learn (two-day training), will integrate
with existing applications and runs on HP and other vendors.
The RS/Decision system provides menu-driven utilities for building,
maintaining and accessing knowledge bases. It is ideally suited for
applications such as training, quality control, process control,
equipment maintenance and troubleshooting, production scheduling,
product selection and formulation, hotline support and online
documentation.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Math/Statistics
RS/Discover Software
BPR022500100 Premier ISV
BBN Software Products
150 Cambridgepark Dr.
Cambridge, MA 02140
Susan Cohen (617) 873-4857
Industry:
Math/Statistics\Data Analysis
Mfg/Aero/Defense/Govt Contract\All Aero/Defense/Govt Mfg
Mfg/Custom\All Custom Manufacturers
Product Description:
RS/Discover software allows technical professionals to plan,
execute and analyze designed experiments that help realize the most
information in the fewest experimental runs. Created especially for use
in industrial research, development, engineering and manufacturing
environments, the RS/Discover system is the first software package for
the design of experiments that is fully integrated with a complete
system of data management, graphics and analysis tools.
The RS/Discover system allows users to define experimental
conditions, select an appropriate design, enter collected data, and
perform a complete analysis and interpretation of experimental results.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Math/Statistics
RS/Explore Software
BPR022500100 Premier ISV
BBN Software Products
150 Cambridgepark Dr.
Cambridge, MA 02140
Susan Cohen (617) 873-4857
Industry:
Math/Statistics\Data Analysis
Data Management\Data Retrieval/Reporting
Mfg/Aero/Defense/Govt Contract\All Aero/Defense/Govt Mfg
Product Description:
Designed by senior engineers and statisticians at BBN for
scientists and engineers in R&D, manufacturing, quality control and
other industrial environments, the RS/Explore system is an innovative
statistical advisor that provides a new level of computerized assistance
for technical data analysis.
Using graphical displays and a series of menus, RS/Explore software
leads users through the analytical process with extensive support and
guidance on appropriate statistical techniques and methodologies.
RS/Explore software provides an interactive environment and offers users
the ability to analyze and interpret complex engineering and scientific
data, allowing both statisticians and non-statisticians to have greater
understanding of technical information.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Math/Statistics
S-PLUS
SSC981400000 ISV
STATSCI (Statistical Sciences, Inc.)
1700 Westlake Ave. N. #500
Seattle, WA 98109
FAX (206) 283-8691
Industry:
Math/Statistics\Statistical Analysis
Graphics\Graphical Output (general)
Math/Statistics\Data Analysis
Product Description:
S-PLUS is the state-of-the art interactive language and system for
data analysis, graphics, mathematical computing, and statistics. S-PLUS
is a fully supported enhancement of the "New S" language developed by
Becker, Chambers, and Wilks at AT&T Bell Laboratories. Features of
S-PLUS include:
* X-Window System Interactive Graphics: Reshapable and saveable
plot windows, separate scrollable "help" windows, brush-and-spin with
linked highlighting
* Exploratory Data Analysis: Boxplots, Q-Q plots; pairwise scatter
plots; scatter plot smoothers; multivariate displays
* Mathematical Computing and Numerical Analysis: Numerical linear
algebra; complex arithmetic; fast Fourier transformation
* Multivariate Statistics; Robust Methods; Simulation
* Flexible and Easy-To-Use Presentation Graphics: Multiple data
set and multiple plot composition tools; legends, tables, and text; pie
charts; bar charts; histograms; time series plots; gray scale and
contour images; camera-ready high-resolution laser printer output with a
single command.
Configuration Data:
not available
Pricing Information:
Educational and Non-Profit discounts available
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Math/Statistics
SAS/IML(R) Software
SAS275000000 Premier ISV
SAS Institute Inc.
SAS Campus Dr.
Cary, NC 27513
Dennis Massengill (919) 677-8000
Industry:
Math/Statistics\Linear Programming
Math/Statistics\Statistical Analysis
Math/Statistics\Data Analysis
Product Description:
SAS/IML(R) Software, a component of the SAS System of information
on delivery software, interactive matrix programming facility for
sophisticated data analysis and manipulation. The most widely-used data
management, mathematical, and matrix operations are built directly into
the software. SAS/IML Software offers a high-level programming language
similar to APL.
Configuration Data:
not available
Pricing Information:
Licensed on an annual basis - contact vendor
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Math/Statistics
SAS/INSIGHT(TM) Software
SAS275000000 Premier ISV
SAS Institute Inc.
SAS Campus Dr.
Cary, NC 27513
Dennis Massengill (919) 677-8000
Industry:
Math/Statistics\Data Analysis
Graphics\Graphical Output (general)
Math/Statistics\Statistical Analysis
Product Description:
SAS/INSIGHT(TM) software an integrated component of the SAS(R)
System of information delivery software, is a highly interactive tool
for graphic data analysis. SAS/INSIGHT software lets users explore data
through a variety of graphic displays (i.e. bar charts, scatter plots,
3-D rotating plots). All observations are linked, so changes in one
graph show immediately in all others.
Configuration Data:
not available
Pricing Information:
Licensed on an annual basis - contact vendor
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Math/Statistics
SAS/STAT(R) Software
SAS275000000 Premier ISV
SAS Institute Inc.
SAS Campus Dr.
Cary, NC 27513
Dennis Massengill (919) 677-8000
Industry:
Math/Statistics\Statistical Analysis
Math/Statistics\Data Analysis
Math/Statistics\Linear Programming
Product Description:
SAS/STAT(R) Software, a comprehensive statistical analysis tool,
includes pre-written procedures for regression analysis, analysis of
variance, categorical data analysis, multivariate analysis, cluster
analysis, survival analysis, Psychometric analysis, and non-parametric
analysis. An interactive windowing facility enables users to edit and
manage input, output, and messages. SAS/STAT Software is a an
integrated component of the SAS System of information delivery software.
Configuration Data:
not available
Pricing Information:
Licensed on an annual basis - contact vendor
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Math/Statistics
SPSS Statistical Data Analysis Software
SPS606500100 ISV
SPSS Inc.
444 N. Michigan Ave.
Chicago, IL 60611
Susan Phelan (312) 329-2400
Industry:
Math/Statistics\Statistical Analysis
Advertising\Market Research
Education/Colleges\Statistics
Product Description:
SPSS Statistical Data Analysis Software is one of the largest
selling computer applications tools in the world. It's currently used
by thousands of organizations to solve the complex problems involved in
applications such as market research, survey analysis, statistical
quality control, government reporting, database reporting, scientific
and medical research, human resources research, and computer performance
analysis and capacity planning.
SPSS is an accessible and highly versatile system that allows even
the novice user to handle, define, and distribute critical information
with ease and efficiency. Automatic features are provided so that an
absolute minimum of amount of effort by the analysts is required to
define files.
SPSS Release 4.0, the latest release of the product, features an
interactive menu interface that takes advantage of the operating systems
under which the product runs. The new interface allows users to get
immediate feedback on their data analysis tasks as they are performed,
and it provides on-line help which allows users to refer to HELP
messages at any point in a session.
In addition, SPSS Release 4.0, includes a new Macro facility that
allows users to create their won simple commands and specifications to
accomplish very complex or repetitive tasks. This facilitates the
creation of applications tailored to the specific needs of
non-sophisticated users. SPSS also has direct interfaces to leading
DBMS programs including: Oracle, Ingres, Sybase and Informix.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP3000 MPE
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Math/Statistics
STAT/1000
ERE846500100 ISV
Eyring, Inc.
1455 W. - 820 N.
Provo, UT 84601
Paul Meyers (801) 375-2434
Industry:
Math/Statistics\Data Analysis
Product Description:
Statistical analysis tool designed for manufacturing firms to
relate product performance and quality to probable causes
STAT/1000 is a user friendly, yet highly sophisticated statistical
analysis tool designed to assist manufacturing firms in relating process
performance & product quality to probably causes. STAT/1000 is flexible
& powerful, yet so simple to use that the novice can easily get
meaningful results on his first run.
Key features:
* Friendly, menu driven user interface
* Interactive or batch processing modes
* Data input from IMAGE or ASCII file
* Data editing
* Data transformations
* Modern regression (modeling) techniques
* Simple statistics (menu, median, standard deviations,etc.)
* Correlations
* Graphical output (histograms, scatter plots, smooth plots,
labeled variable box plots, etc.)
* Plot spooler allows analysis while plotting
STAT/1000 is a unique and powerful data analysis tool. Eyring
Research Institute, Inc. will continue to develop new modules to add to
the package. We intend to be sensitive to the needs of our customers,
and will attempt to develop these new modules in response to those
needs.
The STAT/1000 system includes relocatable object code and
libraries, loader command files for easy installation, an installation
guide, a Users Manual and a Tutorial Manual.
Configuration Data:
not available
Pricing Information:
$995.00 license
System Information:
HP1000 RTE
Product Type:
Listed
------------------------- Start of Article -----------------------------
Math/Statistics
STATIT
GRA950500100 ISV
Graphicus
6904 - 156th St. N.W.
Stanwood, WA 98292
FAX (206) 652-8315
Industry:
Math/Statistics\Data Analysis
Mfg/Support\Quality Control Management
Math/Statistics\Statistical Analysis
Product Description:
STATIT is a modular data analysis system designed for use in
manufacturing and laboratory environments. It is being used by
thousands of users to:
* Understand lab and manufacturing data
* Produce quality control charts
* Investigate, compare and troubleshoot
* Perform statistical analysis
Modules are available for data management, statistical analysis,
quality analysis, graphics and custom procedure writing and interfaces
to popular databases. Since STATIT is part of the Graphicus family of
integrated products for data analysis and presentation which include
GRAFIT, STATIT/QC and DRAWIT you can add additional capabilities when
you need them.
STATIT can be integrated with external data from files, databases,
and programs. It supports X-Windows and most terminals, printers and
plotters. Through a programmatic interface, it can be used from C or
Fortran programs to provide powerful application building capabilities.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP1000 RTE
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Math/Statistics
SimuSolv
TDC486660000 ISV
The Dow Chemical Company
1707 Bldg.
Midland, MI 48674
FAX (517) 638-9622
Industry:
Math/Statistics\Data Analysis
Chemical/Pharmaceutical\Petrochemical
Math/Statistics\Statistical Analysis
Product Description:
The SimuSol(R) program is an integrated, multi-functional software
package designed to help scientists and engineers model time-dependent
physical systems in engineering, chemical kinetics, pharmacology,
toxicology, environmental sciences and agriculture. It is used to
simulate the behavior of dynamic systems, optimize their performance,
and estimate the best values of model variables. Key features include:
* Parameter estimation accomplished by fitting models to
experimental data using the statistically sound maximum likelihood
method.
* System optimization performed using either of two available
nonlinear constrained optimization procedures.
* Advanced 2-D and 3-D graphics which give the user great
flexibility in presenting the results of simulations, optimizations, and
sensitivity analyses.
The program accommodates non-computer-oriented researchers by
providing default settings for almost all of its functions. At the same
time, it allows professional modelers almost complete freedom to apply
their skills to modeling tasks -- including the ability to incorporate
standard FORTRAN code into their models. The program is fully supported
including upgrades, free hot-line, newsletter and training.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Math/Statistics
Statistical Analysis Library
PAR805400000 ISV
Parametrics, Inc./ESC
PO Box 8035
Loveland, CO 80537
Bill Arrington (303) 667-4030
Industry:
Math/Statistics\Statistical Analysis
Mfg/Aero/Defense/Govt Contract\Quality Management
Mfg/Process\Quality Management
Product Description:
The Statistical Analysis Library (SAL) is a must for anyone in
engineering, scientific, business, and social science disciplines to
quickly and efficiently analyze the reams of data in today's
informational explosion.
SAL is a result of over 15 programmer years of development.
Initially designed and developed between PhDs in Statistics and
Hewlett-Packard Engineers it has grown to contain over 500 proven
statistical and graphical algorithms and has been a premier product on
HP Computers for many years. With over 10,000 copies sold, it can
satisfy everyone from the novice to serious statisticians worldwide.
Not only does it contain a robust set of statistical algorithms, it is a
completely interactive package with no programming necessary. Its human
interface is designed to take the user step by step from data entry
through all phases of data analysis and presentation.
The package comes in two parts. Part I is for users with limited
statstical needs, and contains Basic Statistics and Data Manipulation,
General Statistics, Statistical Graphics, Regression Analysis, and
Non-Linear Regression Analysis. Part II contains Analysis of Variance,
Monte Carlo Simulation Utilities, and Principal Components and Factor
Analysis for those who require a more comprehensive analysis capability.
There are virtually hundreds of algorithms under each category that
could not be listed here. The Statistical Library Package is shipped on
10 floppies and includes a comprehensive 450 page manual containing
theory, references, and examples to facilitate ease of use.
Configuration Data:
not available
Pricing Information:
Part I - $950.00 - Part II - $750.00
Complete package - $1495.00
System Information:
HP VECTRA MS-DOS
HP9000/300 BASIC
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Math/Statistics
The SAS(R) System
SAS275000000 Premier ISV
SAS Institute Inc.
SAS Campus Dr.
Cary, NC 27513
Dennis Massengill (919) 677-8000
Industry:
Math/Statistics\Data Analysis
Accounting\Project Cost Management
Application Dev./Software Eng.\Integrated Product Support Env
Product Description:
The SAS(R) System - an integrated suite of software products for
enterprise-wide information delivery-provides organizations with tools
to access, manage, analyze and present their data within an applications
development environments. The SAS System is grouped into modular
components that give organizations the opportunity to obtain only the
functinality they need. And, as the organizations' needs grow, they can
add additional SAS System components, thus allowing their computing
capabilities to grow with them. Capabilities within the SAS System
included EIS, spreadsheets, graphics, data analysis, report writing,
quality improvement, project management, computer performance
evaluation, client/server computing, database access, decision support,
applications development and more. Components within the SAS System
include:
* BASE SAS(R) Software - foundation of SAS System
* SAS/ASSIST(R) Software - interface to SAS System
* SAS/AF(R) Software - applications development facility
* SAS/FSP(R) Software - data entry, retrieval and letter writing
* SAS/GRAPH(R) Software - high resolution graphics
* SAS/STAT(R) Software - statistical analysis
* SAS/ETS(R) Software - business planning, forecasting and decision
support
* SAS/QC(R) Software - quality improvement
* SAS/OR(R) Software - operations research and project management
* SAS/IML(R) Software - matrix programming
* SAS/CONNECT(R) Software - cooperative and distributed processing
* SAS/INSIGHT(TM) Software - statistical graphics. Other SAS
System components are also available under other operating environments.
The SAS System is modularly designed to give organizations the
flexibility to license only the functionality they need. As their needs
grow and change additional components can be added on in a completely
integrated manner across the wide range of computing environments
supported by the software.
Configuration Data:
not available
Pricing Information:
Licensed on an annual basis - contact vendor
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Math/Statistics
VisSim
VSO018660000 Complimentary Vendor
Visual Solutions, Inc.
487 Groton Rd.
Westford, MA 01886
FAX (508) 692-3102
Industry:
Math/Statistics\General Engineering Math
Electrical/Electronic Eng.\Electro-Mechanical Analysis
Electrical/Electronic Eng.\Electro-Mechanical Design
Product Description:
VisSim provides a simple, intuitive, graphical environment for
mathematical modeling, simulations, analysis and real-time controls.
The diagram is the program. It will greatly enhance the productivity of
engineers, scientists, mathematicians and financial analysts in their
development of complex systems. VisSim offers 70+ linear and non-linear
mathematical blocks that can be graphically combined to solve a models
equations. Users can define their own blocks in C, C++ and FORTRAN.
Run simulations, and plot results, all within a single, interactive
graphic environments create virtual instrumemtation panels and use them
to control prototypes or include them in the end user product.
An optional analysis package lets the user select transfer function
information, zeroes and poles, and bode and root locus.
The optional real-time interface option enables users to quickly
and easily select and configure I/O channel and channel types with the
click of a mouse.
Configuration Data:
not available
Pricing Information:
MS/Windows: Demo $10, personal edition $395, full $1195, analyze
$495, RT $250
UNIX: Demo $25, personal edition $695, full $3,495, analyze $695
System Information:
HP VECTRA MS-DOS
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Math/Statistics
WiziTeX
MEN601660000 ISV
Microsystems Engineering Corporation
2500 W. Higgins Rd. #950
Hoffman Estates, IL 60195
Fax (708) 882-8643
Industry:
Math/Statistics\Math Routines/Libraries
Product Description:
WiziTeX is a proportional equation editor based on the TeX
language. Equations can be created by pointing and clicking on symbols
and icons or by typing in the TeX language. Using the split screen
option, the visual equation is displayed above while the TeX code is
displayed below. Whenever either window is modified, the corresponding
window is automatically updated. WiziTeX can import and export TeX.
Imported code will display WYSIWYG equations on the screen, making it
easy for a non-technical user to continue editing technical manuscripts.
WiziTeX also provides a cross-platform Font Symbol Manager, allowing you
to create an equation on one platform and print it from any other
supported platform. Since each platform supports a unique set of
symbols, this capability ensures consistency with printouts, eliminating
conversions and other last minute editing and proofreading. WiziTeX can
be used with other GUI word processors through use of the clipboard.
WiziTeX is an OLE product, making it compatible with other OLE software
products. WiziTeX is also compatible with MASS-11 Classic, MEC's
character-based document processor.
Highlights:
* Cut and paste equations between windows.
* Redefinable palette lets you store and select frequently used
mathematical and scientific symbols.
* Text can be entered in a variety of proportional fonts and point
sizes.
* Transfer equations seamlessly between platforms with a single
file format.
* Automate the creation of complex expressions using the Macro
Manager.
* Six levels of zoom to close in to work on an expression or zoom
out to view the entire equation.
Configuration Data:
not available
Pricing Information:
PC $245.00 - MAC $195.00 - Workstations $295.00
System Information:
HP VECTRA MS-DOS
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Math/Statistics
statit Quality Control
STA973660000 Complimentary Vendor
Statware, Inc.
260 S.W. Madison Ave.
Corvallis, OR 97333
FAX (503) 758-4666
Industry:
Math/Statistics
Product Description:
statit Quality Control is part of a family of integrated modules
providing graphical data analysis. This moudle gives you the tools to
design optimal quality environments and monitor manufacturing processes.
You can expand the Quality Control Module's capabilities by adding
optional modules for:
Statistics - perform advance statistical procedures such as
regression, analysis of variance, design of experiments, and time series
- Custom Graphics - create unique graphs or customize those provided -
Procedure Writing - automate complex tasks and tailor exisitng statit
procedures - Programmatic Interface - link with other applications
allowing statit to either control or be controlled by the application -
Quality Control - provides control charts for variables and attributes
data - Database Interfaces to Sybase, Informix, Ingres and Oracle
through familiar SQL statements.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Math/Statistics
statit(TM)
STA973660000 Complimentary Vendor
Statware, Inc.
260 S.W. Madison Ave.
Corvallis, OR 97333
FAX (503) 758-4666
Industry:
Math/Statistics\Data Analysis
Mfg/Process\Quality Management
Graphics
Product Description:
statit is a family of integrated modules providing graphical data
analysis. At the heart of statit is the powerful Base System that gives
you data management capabilities, descriptive statistics, and
high-resolution graphics. The Base System opens the door to your data
and allows you to select, modify, manipulate, analyze, and display data
within an easy-to-use, hands-on environment.
You can expand the Base System's capabilities by adding optional
modules for:
Statistic - perform advanced statistical procedures such as
regression, analysis of variance, design of experiments, and time series
- Custom Graphics - create unique graphs or customize those provided -
Procdure Writing - automate complex tasks and tailor existing statit
procedures - Programmatic interface - link with other applications
allowing statit to either control or be controlled by that application -
Database interfaces to Sybase, Informix, Ingres and Oracle through
familiar SQL statements.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mfg/Discrete
ADAMS-BM
ADA606500100 Premier VAR
Abacus Data Systems
3601 Algonquin Rd.
Rolling Meadows, IL 60008
FAX (708) 632-9991
Industry:
Mfg/Discrete\Bill of Materials
Product Description:
* ADAMS-BM is an on-line bill of material processor with unlimited
number of levels.
* Provides on-line multi-level bill explosion and implosion (where
used, inquiry)
* Performs part substitution, engineering changes, product
structure maintenance and inquiry
* Produces material requirement planning worksheet
* Automatic cost roll up allows processing of labor, material, and
overhead costs from bottom level part items to final assembly
* Provides user with maintenance capability to control labor grade,
labor rate, overhead code and burden rate
* Interfaces with ADAMS-IC (Inventory management), to handle
inventory transactions for assembly and kitting operations.
Configuration Data:
not available
Pricing Information:
$2,000 - $4,000
System Information:
HP3000 MPE
HP3000/900 MPE/iX
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
ADAMS-IC
ADA606500100 Premier VAR
Abacus Data Systems
3601 Algonquin Rd.
Rolling Meadows, IL 60008
FAX (708) 632-9991
Industry:
Mfg/Discrete\Inventory Control
Wholesale Distribution\Durable Goods
Wholesale Distribution\Industrial Equipment/Supplies
Product Description:
ADAMS-IC is designed for multiple warehouses inventory control
applications. Features:
* On-line maintenance of item master file data
* Maintain accurate balances for inventory on hand, inspection,
purchase order, and sales order allocation
* Process inventory receipt, issue, return, adjustment and transfer
* Automatic logging of inventory transactions for audit trail and
usage analysis
* Support stand, average and current costs
* Maintain monthly and yearly history for transactions to provide
online management inquiry
* Self-checking function monitors system accuracy in inventory
balances
* Automatic calculation of monthly usage, economic order quantity
and reorder level
* ABC ranking by investment value
* Stock status report highlights low stock items for on-line
maintenance of purchase orders
* Maintain vendor price and delivery performance data
* Handle non-stock and service items
* Provide account coding to interface with general ledger
Advanced Options: Lot control and Serialized items
Configuration Data:
not available
Pricing Information:
$6,000 - $12,000
System Information:
HP3000 MPE
HP3000/900 MPE/iX
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
ADAMS-PI
ADA606500100 Premier VAR
Abacus Data Systems
3601 Algonquin Rd.
Rolling Meadows, IL 60008
FAX (708) 632-9991
Industry:
Mfg/Discrete\Inventory Control
Wholesale Distribution\Durable Goods
Wholesale Distribution\Industrial Equipment/Supplies
Product Description:
ADAMS-PI: A stand-alone system for year-end physical inventory
management and cycle-count control. No modification in the user's
inventory system is required to install ADAMS-PI. A simple interface
file is sufficient to generate the system, which will:
* Produce pre-printed tags, showing 3-line product description and
warehouse location
* Accept hand-written tags
* Provide extensive control on missing, void and handwritten
* Create tag audit trails by tag number and product code
* Generate exception reports for items having variances that exceed
the dollar or percentage limits specified by the client
* Create final interface file for posting to user's inventory
system
* Produce inventory summary file by product line. Included the
purchase cost are: a tape of source and object code, comprehensive user
manual and technical reference manual.
Configuration Data:
not available
Pricing Information:
$2,000 - $4,000
System Information:
HP3000 MPE
HP3000/900 MPE/iX
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
ALCIE IV
CSA770660000 DAR
Computer Scouts of America, Inc.
16106 La Avenida
Houston, TX 77062-5004
Larry Tomazinis (713) 488-2338
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg / Ind Specific Solutions\Machinery Manufacturing
Product Description:
ALCIE IV application software has been developed to be used in the
open systems market. The applications are written in 100% Oracle, the
leading 4GL relational database. ALCIE IV is ideally suited for
businesses looking for flexibility, functionality and portability from
its software solution. The open systems market allows businesses to
choose between many different hardware suppliers for the ALCIE IV
applications. New businesses looking into the open systems market, or
businesses with obsolete proprietary software solutions, are ideal
canditates for ALCIE IV applications.
ALCIE IV has been utilized among many different industries. The
financial applications have been used among financial management
businesses, distributors and manufactures. ALCIE IV is composed of many
integrated modules servicing wholesale distribution, job shop
manufacturing, professional services, engineering and contractors.
Modules include:
General Ledger - Accounts Receivable - Accounts Payable - Fixed
Assets - Purchase Order - Inventory Management - Order Entry - Payroll -
Job Cost - Quoting & Estimating - Project Accounting.
Configuration Data:
not available
Pricing Information:
Contact dealer for exact pricing, based on platform and users
System Information:
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mfg/Discrete
ALL-ONE
QUS941500100 ISV
Qusim Systems, Inc.
1301 Shoreway Rd.
Belmont, CA 94002
FAX (415) 598-9278
Industry:
Mfg/Discrete\Distribution Management
Mfg / Ind Specific Solutions\Food Manufacturing
Product Description:
ALL-ONE is a multi-location manufacturing and distribution system
which provides support from raw materials purchase and production
scheduling through customer orders, shipping and billing. ALL-ONE
includes support for the following:
Raw Materials & Finished - Internal Distribution - Product
Inventory Control - Vendor Processing - Purchase Orders - Accounts
Payable - Bills of Material - Production Scheduling - Generated Work
Orders - Customer/Outlet Processing - Customer Model Inventory -
Customer Orders/ Retail Sales - Shipping Documents - Multi-Level Cost.
Invoicing - Accounts Receivable - Cash Drawer Processing - Automatic G/L
Posting - Full General Ledger Process - Physical Inventory Process - and
Management Information.
ALL-ONE is fully integrated with automatic updates to the
appropriate databases based on each transaction. Information is
available on-line for inquiry and maintenance. Ease of use is provided
through easy to understand menu selections, on-line "help" screens and
comprehensive system documentation.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
------------------------- Start of Article -----------------------------
Mfg/Discrete
AMAPS/3000XL - Mfg. Business Planning
COM551500100 Premier ISV
Dun & Bradstreet Software
3445 Peachtree N.E.
Atlanta, GA 30326-1276
Gail Goodman (508) 370-5584
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Discrete\Management Reporting
Mfg/Discrete\Manufacturing MIS
Product Description:
AMAPS/3000 Business Planning is the modular integrated
manufacturing business planning system.
AMAPS/3000 is a modular, fully integrated system designed for full
control of a manufacturing operation. The total system consists of 16
modules. The Manufacturing Business Planning System consists of 2
modules:
Master Production Scheduling (MPS) and Order Management System
(OMS).
These modules integrate with all other modules. In addition to the
software, AMAPS/3000 also provides account management support,
professional services, and a complete line of educational products
including workshops, video courses, computer assisted instruction, and
on-site education. AMAPS/3000 has been installed in over 150 user
sites. Taking advantage of the latest advances in the HP 3000
technology, combined with reliable, flexible, and functionally complete
software, produces a business management tool that satisfies user needs
for an easy to use system to solve real problems.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
APS (Advanced Planning System)
CINK2L660000 ISV
Carp Systems International Inc. (CSI)
One Cranberry Hill
Lexington, MA 02173
FAX (617) 862-1681
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Custom\All Custom Manufacturers
Mfg/Process\Capacity Requirements Planning
Product Description:
The Advanced Planning System provides a suite of strategic,
decision-support manufacturing planning applications and tools, which
can dramatically extend the efficiency and planning analysis
capabilities of MRP II (manufacturing resource planning) systems.
The Advanced Planning system operates on a workstation, acting as a
client to the host-based MRP II system. The system can be integrated
with any commerically available MRP II system, such as Hewlett-Packard's
MM3000 and ASK Computer System's ManMan as well as any in-house
developed system.
The Advanced Planning System extracts the key manufacturing
planning information - the master production schedule (MPS) and the
materials and capacity requirements planning (MRP and CRP) - from the
host-based MRP II application. The system can then generate numerous
full-scale MRP runs in seconds on the workstation; a process that
normally takes hours on the host-based system. With each run, the
master planner can apply different Advanced Planning Applications, such
as Engineering Change Analysis or Schedule Changes, or create a new
application with different "What If" criteria. The result is an ability
to generate multiple manufacturing plans instantaneously and then select
the one that best meets an organization's strategic needs.
The Advanced Planning System has three components: an APS Planning
engine, Advanced Planning Tools, and Advanced Planning Applications. It
allows companies to execute multiple full-scale MRP runs in seconds, a
process that typically takes hours with existing MRP- II systems.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
ARP/3000 Accounts Receivable Processing
DSF821410100 ISV
Dennis and Schwab Inc. (DSI)
17330 Newhope St. #A
Fountain Valley, CA 92708
Dennis Doi (714) 241-4550
Industry:
Mfg/Discrete\Financial Accounting Systems
Accounting\Accounts Receivable
Mfg/Discrete\Order Management
Product Description:
ARP/3000 records and tracks amounts owed and paid to a company by
their debtors. Sales invoices and credit memos are automatically
translated into accounts receivables via DSI's Customer Order Processing
module (COP/3000) or may be directly entered using classical batch
balancing techniques as well as the application of cash receipts.
The following highlights additional features of ARP/3000:
* User-defined chart of accounts with on-line vality checking.
* Default account numbers configured for A/R, cash, discount, and
miscellaneous accounts to reduce required data entry errors.
* Payments made either as open-item or balance-forward to an
invoice, unapplied cash, miscellaneous cash or non-A/R accounts.
* Discounts, credit and debit memos, and late charges, accepted and
controlled.
* Open item customer statements printed for all, delinquent only,
or individual customers.
* Complete transaction audit trails.
* Automated end-of-month processing
* On-line HELP
* COBOL source code
Configuration Data:
not available
Pricing Information:
Value priced by HP model starting at $5,000
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
AXIOM
AXI017660000 VAR
AXIS Computer Systems, Inc.
65 Boston Post Rd.
Marlborough, MA 01752
FAX (508) 481-7234
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg / Ind Specific Solutions\Electric/Electronic Equip. Mfg
Mfg/Discrete\MRPII Systems
Product Description:
Founded in 1984, AXIS Computer Systems, Inc. provides a fully
integrated MRP II solution to the discrete manufacturing industry.
Discrete manufacturers are Bill of Material driven creating one end item
from one or more components or sub-assemblies. Products are planned and
built from work orders, or in some cases in a repetitive or rate based
fashion. AXIOM is designed for the discrete manufacturer whose
manufacturing style is Configure-to-order, Make-to-order, Make-to-Stock,
Repetitive and a combination of these styles. Examples of industries
where AXIOM has been successfully installed include: Computer
manufactuers, aircraft engines, elevator components, mass storage
devices, industrial machinery, home improvements products, high tech
lighting components.
AXIOM includes:
* Order Management - Customer Order Processing, Product
Configurator, Final Assembly Scheduling, Sales Analysis and Commissions
* Materials Management - Bill of Material, Inventory Control,
Repetitive Manufacturing, Interplant Processing, Master Production
Schedule
* Production Management - Routings, Shop Floor Control, CRP
* Cost Management - Standard and Job Order Cost
* Financial Management - General Ledger, Accounts Payable,
Accounts Receivable
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
AXIOM/mx
AXI017660000 VAR
AXIS Computer Systems, Inc.
65 Boston Post Rd.
Marlborough, MA 01752
FAX (508) 481-7234
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg / Ind Specific Solutions\Fabricated Metal Products Mfg
Mfg/Discrete\MRPII Systems
ON THIS PAGE **** PREVIOUS ERRORS ON PAGE #1668
Product Description:
AXIOM/mx(TM) is an MRP II business solution for companies who are a
mixture of both process and discrete manufacturing. The manufacturing
environment flows like a process manufacturer, but the final product is
a discrete end item. Examples include, but are not limited to:
Wire/Cable, Fasteners, Stamping, Tube and Pipe, Plastics,
Rope/Twine/Thread, etc.
Special requirements for this mixture manufacturing industry
include Material Dispatching, Built-in quantity/tolerance controls,
Container Control, Group Technology Scheduling, Product and Process
definition, Work Center Costing and Standard Costing.
AXIOM/mx includes:
* Order Management - Customer Order Processing, Produc
Configurator, Final Assembly Scheduling, Sales Analysis and Commissions
* Materials Management - Bill of Material, Inventory Control,
Material Requirements Planning, Purchasing, Work Order Control,
Repetitive Manufacturing, Interplant Processing, Master Production
Schedule
* Production Management - Routings, Shop Floor Control, CRP
* Cost Management - Standard and Job Order Cost
* Financial Management - General Ledger, Accounts Payable,
Accounts Receivable
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
Assistant Controller-Manuf/Cost, The
TSE940500100 ISV
Selective Software Service Co., Inc.
14015 Outrigger Dr.
San Leandro, CA 94577
Ron Cogburn (415) 614-9885
Industry:
Mfg/Discrete\Bill of Materials
Mfg/Discrete\Financial Accounting Systems
Mfg/Discrete\Inventory Control
Product Description:
Integrated IMAGE db providing most of the needed applications, for
manufacturing and distribution firm. The Assistant
Controller-Manuf/Cost modules provide controlled access with unlimited
end user reporting. System design stresses the end user department
running the day to day operations. All applications: computer generated
control numbers for Journals, Invoice-No, Order-No, Work-Orders, Checks,
etc. Order Entry, unlimited: customer price list, promotions, credit
exposure reports, order tracking by customer, salesman, territory, order
type, product, product class. Unlimited adds/cust to orders. Auto-gen.
of work orders, bar code labels and assembly kit list. Invoice history
retained to line item detail for two years. Accounts Receivables,
detail and summary, pre-payments, advances, auto-gen. short payment
notices, aged receivables, detail or summary, Standard Costing,
unlimited assembly levels. Sales Commissions, tracks the salesman
performance, by: customer, product. Tracks Royalties by: product,
customer Gen/Ledger/Budget: all modules generating journals feed the
gen/led, retaining line item detail for a full year. Month/year easily
reopened/closed. Budgeting to: product level accounting period,
territory. Sales Reporting to line item level per invoice by customer,
product product-class, salesman, etc.
Configuration Data:
not available
Pricing Information:
Between $2,500 to $5,500 per module
System Information:
HP3000 MPE
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
AutoFold
HAG625660000 DAR
Hagerman & Company, Inc.
PO Box 139
Broadway & Sunset Ct.
Mt. Zion, IL 62549
David Hagerman (217) 864-2326
Industry:
Mfg/Discrete\Computer-Aided Manufacturing
Mfg / Ind Specific Solutions\Primary Metal Industries
Product Description:
Unfolding formed parts into flat patterns is time-consuming and
tedious work. Precise calculations must be performed and the part must
be entirely redrawn as a flat.
AutoFold Standard completely automates this unfolding process! It
performs all calculations using variables you supply for material
thickness, bend radius and K-factor. Then, after you select the
geometry to be unfolded, AutoFold will lay out the 3D part and
compensate for bend allowance. The result is a flat pattern of your
model - and greatly increased productivity!
If you need to fold up as well as unfold, you need AutoFold
Advanced.
AutoFold Advanced contains all the features of AutoFold Standard,
plus the ability to create a formed 3D model from a flat pattern.
AutoFold runs completely inside AutoCAD, so there are no cumbersome
file transfers necessary. And, since the flat pattern is still an
AutoCAD drawing, you can use it with any CAM software compatible with
AutoCAD.
Unfold your parts in a fraction of the time it takes now.
Eliminate caculation errors. Create your flats with precision. With
AutoFold, it's easy!
Configuration Data:
not available
Pricing Information:
AutoFold Standard $995
AutoFold Advanced $1,295
System Information:
HP VECTRA MS-DOS
HP9000/400 DOMAIN
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mfg/Discrete
Automation Systems
TAP440660000 DAR
Technical Applications
7630 Race Rd.
N. Ridgeville, OH 44039
Bill Wilson (216) 365-9950
Industry:
Mfg/Discrete\Shop Floor Control
Mfg/Aero/Defense/Govt Contract\Shop Floor Control
Mfg/Custom\Shop Floor Control
Product Description:
not available
Configuration Data:
not available
Pricing Information:
available upon request
System Information:
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mfg/Discrete
BAI-Inventory
BAU926660000 DAR
Business Automation, Inc.
1572 N. Main St.
Orange, CA 92667
Frank E. Eperjesi (714) 998-6600
Industry:
Mfg/Discrete\Inventory Control
Product Description:
Provides the tool required to help plan, control and manage your
inventory investment. Designed with a high level of adaptability,
flexibility, and integration. Some of the key features include:
part/product look-up on-line inquiry to part demand and supply sources
(sales/ purchasing/jobs) part usage analysis, order notices (order
point/quanity) replenishments.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
BMP/3000 - Bills of Material Processing
DSF821410100 ISV
Dennis and Schwab Inc. (DSI)
17330 Newhope St. #A
Fountain Valley, CA 92708
Dennis Doi (714) 241-4550
Industry:
Mfg/Discrete\Bill of Materials
Mfg / Ind Specific Solutions\Food Manufacturing
Mfg/Discrete\All Discrete Manufacturers
Product Description:
BMP/3000 maintains and reports modular bills of materials with
extended comment capability, and date or work order controlled
engineering change effectivity. Commented, indented, and summarized
bills of materials, plus single and multi-level where-used inquiries and
reports provided. At the component level, lead time offset, issue
policy, routing step reference, consume code, critical part and partial
releases flags are supported.
BMP/3000 is provided in COBOL source code and is fully integrated
with other QED/3000 modules.
Configuration Data:
not available
Pricing Information:
Value priced by HP model starting at $1,750
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
Bill of Material Processor (BOMP)
MCB910500100 ISV
MCBA, Inc.
330 N. Brand #700
Glendale, CA 91203
FAX (818) 500-4805
Industry:
Mfg/Discrete\Bill of Materials
Product Description:
MCBA's Bill of Material Processor (BOMP) package keeps track of all
the raw materials, parts, and sub-assemblies that are used to create a
finished product, whether it be a catered meal or a home appliance. It
includes the following capabilities:
Uses HP's IMAGE data base throughout, with full multi-user and
multi-company capability; Creates audit trails for the product structure
file with the exact time and operator to provide a record of additions,
changes, and deletions; Accesses inventory items by number or partial
description; Specifies quantity per parent to four decimal places and
allows it to be negative to create modular bills; Allows specification
of scrap/shrinkage; Creates new bills by copying parts of old ones;
Allows obsolete, forecasted/planned, and active components with
effectivity dates; Replaces all occurrences of an obsolete item with a
replacement part; Allows inquiry through the terminal to see which
assemblies an item are used; Displays the intended or single level bill
of material for any item on the screen; Prints the total component cost
of items in the costed bill of material; Prints a picking list to
facilitate creating kits for assembly; Interfaces with MCBA's Inventory
Management (I/M) and Customer Order Processing (COP) packages.
Configuration Data:
not available
Pricing Information:
$6,000 - $9,000
System Information:
HP3000/900 MPE/iX
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
Bill of Material Processor (MCBA)
CIN787500200 DAR
Commercial Information Systems, Inc.
3918 Caney Creek Rd.
Austin, TX 78732-2214
Mark Butterfield (512) 266-9066
Industry:
Mfg/Discrete\Bill of Materials
Product Description:
* Accepts user specified parameters on most reports to pinpoint the
exact information desired.
* Accesses items by number or partial description.
* Allows a full product structure file maintenance with user
assigned sequence of components.
* Allows the quantity per parent to be specified to four decimal
places and allows it to be negative to create modular bills.
* Allows specification of scrap/shrinkage.
* Creates new bills by copying parts of old ones.
* Allows obsolete, forecasted/planned and active bills with up to
12 levels of sub-assemblies.
* Replaces all occurrences of an obsolete item with a replacement
part.
* Allows inquiry through the terminal to see in which assemblies an
item is used.
* Displays the indented or single level bill of material for any
item on the screen.
* Prints the Single Level Where-used Report and Single Level Bill
of Material Report.
* Prints Indented Bill of Material and Summarized Bill of Material
Reports.
* Prints End Item Where-used Report.
* Interfaces to MCBA's Inventory Management (IM) and Customer Order
Processing (COP) packages.
Configuration Data:
not available
Pricing Information:
Available upon request.
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
Bill of Materials/Drawing & Doc. Mgmt.
LDE530660000 Complimentary Vendor
Logic Design Corporation
1025 S. Moorland Rd.
Brookfield, WI 53005
Lars Hedman (414) 785-1301
Industry:
Mfg/Discrete\Bill of Materials
Mfg/Process\Bill of Materials
Product Description:
The LDC Automation System is a Bill of Materials/Drawing and
Document/Project Management System that provides a method to simplify
and control product development. Functions include single and
multi-level BOM, where used, cost estimation and roll-ups, project
tracking, and a direct interface to CAD. Also included is a simplified
ECO system for engineering change requests, engineering change notices,
and version control.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mfg/Discrete
CAD/CAM/CAE Systems
ATE144660000 DAR
Axis Technologies, Inc.
940 Perinton Hills Office Park
Fairport, NY 14450
Dennis R. Wentworth (716) 223-4330
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Aero/Defense/Govt Contract\All Aero/Defense/Govt Mfg
Mfg/Custom\All Custom Manufacturers
Product Description:
Axis Technologies, Inc., is a Value Added Systems Integrator for
CAD/CAM/CAE Systems. With a primary focus on engineering and
manufacturing applications, Axis provides software and hardware
solutions to its customers.
CAD application products range from 2D drafting through solids
modeling and concepting with various stages of parametrics, surface
modeling functions in between.
CAM applications range from 2-Axis machining through 5-axis complex
surface machining. CAE applications range from engineering analysis to
interfaces for stereolithography. In addition, all aspects of CAD
intergration to the shop floor are available. Complementing Axis
systems are a full spectrum of engineering support and training programs
to ensure maximum productivity is maintained.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 HP-UX
HP9000/700 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
------------------------- Start of Article -----------------------------
Mfg/Discrete
CAM-POST
ITEH9R500100 ISV
ICAM Technologies Corp.
1900 Sources Blvd.
Pointe Claire, Quebec
Canada H9R 4Z3
Fax (514) 697-8621
Industry:
Mfg/Discrete\Numeric Control
Mfg/Aero/Defense/Govt Contract\Numeric Control
Mfg/Process\Numeric Control
Product Description:
CAM-POST is an interactive post-processor generator which
interfaces to all major CAD/CAM systems and APT processors. It is a
self teaching postprocessor development tool that reduces the task and
cost of interfacing Computer Aided Manufacturing systems with the NC/CNC
equipment they control. CAM-POST, through its "expert system" driven
questionnaire, guides the user, typically an NC programmer, through the
creation of a postprocessor. CAM-POST supports all types of NC/CNC
machines up to 14 axes of control. All primary, secondary, neutating
and extending axes are supported automatically.
Postprocessors can be created for milling machines and machining
centers (2 to 5+ axes), turning centers and lathes (2 to 5 axes), C-Y
lathes (mill-turn), wire EDMs ( 2 to 6 axes), torches, punch presses,
hybrid machines, etc. CAM-POST uses the ISO 3592 standard CLDATA as
input, and the ISO 4343 standard for postprocessor language syntax; and
provides a fully customizable macro language to support non-standard
machine features.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
CAMAND
CAM554660000 Premier VAR
CAMAX Systems, Inc.
7851 Metro Pkwy.
Minneapolis, MN 55425-1528
FAX (612) 854-6644
Industry:
Mfg/Discrete
Mfg/Aero/Defense/Govt Contract
Mfg/Custom
Product Description:
CAMAND(R) is a modular Numerical Control programming system that
generates programs for 2 to 5 axis (simultaneous motion) CNC machine
tools, and is typically used in manufacturing complex parts, molds and
dies. The system's NC programming, dynamic machine tool simulation
(with collision detection), and NC verification are supported by a
robust NURBS surface modeler. Visual verification is assisted by
multiple views, photo-realistic shading, and dynamic rotation, pan and
zoom. Modeling functions enable users to complete or modify imported
solid, surface or wireframe models for reliable NC manufacturing. The
system also allows users to automate repetitive modeling and NC
programming functions.
CAMAND imports geometry from most systems via IGES, VDA-FS, DXF,
and Big Three automotive translators, as well as interfaces to PE/Solid
Designer, PE/ME 10 and ME 30. It also includes a universal
postprocessor, machine-tool simulator with collision-detection,
rapid-prototyping interface, and many other modules geared to improving
manufacturing efficiency. The NC module generates toolpaths across
multiple trimmed surfaces with planar, parallel- plane, or contour
machining, with pocketing and profiling. Also provides graphical
toolpath editing of NC programs using up to 5 axes in simultaneous
motion. CNC machine tools supported include mill-turn machines,
machining centers, turning centers, lasers, lathes, mills and wire EDM.
Configuration Data:
not available
Pricing Information:
Range of $20,000 to $60,000 first seat, depending on modules
required by user. Multiple-seat discounts available
System Information:
HP9000/700 HP-UX
Product Type:
FRD Referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
CFS/Order Entry
CFI980500100 ISV
Computer Financial Services, Inc.
1621 - 114th Ave. S.E. #213
Bellevue, WA 98004-6905
Gordon Lowell (206) 453-4476
Industry:
Mfg/Discrete\Order Management
Distribution for Manufacturers\Durable Goods
Distribution for Manufacturers\Food
Product Description:
The Computer Financial Services Order Entry system is a menu-driven
database system directly integrated with Accounts Receivable, Sales
Analysis, Inventory and General Ledger systems. Orders are accepted
on-line from a variety of sources and automatically update applicable
inventories, generate the customer's invoice, post to the general
ledger, and sets up receivables.
Features include:
* Direct look-up in the inventory for on-line status of committed
and on-hand positions by item.
* Direct look-up of open orders by customer.
* Free-form input of customer's shipping instructions.
* Total order gross margin calculation on each order prior to
release and invoicing.
* Automatic credit checking for each order.
* Free-form additions of miscellaneous charges on each order if
required.
* Automatic or manual commissions calculation.
* Automatic or manual sales tax calculation by taxing jurisdiction.
* Automatic verification of inventory availability while entering
orders.
* Automatic generation of actual sales order and invoice.
* "Rolodex" of bill-to addresses for customers "on the fly".
* Easy and efficient creation of back-orders when available
inventory is less than the order quanitiy.
Configuration Data:
not available
Pricing Information:
Available upon request.
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
CFS/Sales Analysis
CFI980500100 ISV
Computer Financial Services, Inc.
1621 - 114th Ave. S.E. #213
Bellevue, WA 98004-6905
Gordon Lowell (206) 453-4476
Industry:
Mfg/Discrete\Management Reporting
Mfg/Custom\Management Reporting
Product Description:
The Computer Financial Services Sales Analysis system is an
operational and profitability reporting system. Taking information from
order entry, accounts receivable, and inventory, several excellent
reports are generated to assist management in monitoring and managing
its sales activities and sales personnel.
Features include:
* Summarized sales reports at progressively deeper levels of
information break-down. You define the report you need.
* Customer profitability analysis by item, with both cost and
revenue shown for each item.
* Gross Margin Profitability reports at the detailed level you
require.
* Multi-dimensional reporting of sales and gross margin activities:
Time, financial, and operational statistics. Any of those or all three.
* Sales analysis by customer, salesman, or item, or all three.
* The ability to form unique reporting groups for special analysis
and review. Groups may cross-over or be sub-groups.
* Year-to-date and prior year comparisons are available on all
reports.
* Almost any combination of reports can be produced utilizing the
information available in the data-base (Order Entry, Accounts
Receivable, Inventory.)
Configuration Data:
not available
Pricing Information:
Available upon request.
System Information:
HP3000 MPE
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
CHESS
XER900660000 Premier ISV
Xerox Computer Services
5310 Beethoven St.
Los Angeles, CA 90066
Barbel French (310) 306-4000X2456
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Support\Manufacturing Information Sys.
Mfg/Discrete\MRPII Systems
Product Description:
CHESS is an advanced 4GL MRP-II + system for all make-to-order and
make-to-stock discrete manufactures. 19 modules for all manufacturing,
distribution and financial functions are offered. CHESS is written in
PRO-IV, an advanced 4GL language from McDonnell Douglas Corp. that
provides full file access/database and hardware independence to allow
sales into previously "closed" environments. Features include standard
very friendly user interface, multiple window sessions, online "HELP"
and documentation, completely online/realtime integration, and full
support of multi-division/multi-national operations. Specifically
designed to simplify interfaces to systems such as CAD, CIM, data
collection, electronic data interchange, etc.
CHESS modules include accounts payable, accounts receivable,
billing, contract purchase orders, costing, detail capacity planning,
engineering, external interface facility, financial integration
management, general ledger, inventory, master production scheduling,
MRP, physical inventory, purchase orders, repetitive "JIT"
manufacturing, sales analysis, sales orders, shop floor control, and
work orders. Sales configurator for Q9.
Configuration Data:
not available
Pricing Information:
Variable based on CPU. 15 base MRP-II modules in U.S. from $75,000
on HP 9000-8225
System Information:
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
CIIM
IIN857400000 Premier ISV
Avalon Software Inc.
3716 E. Columbia Dr.
Tucson, AZ 85714
FAX (602) 750-0822
Industry:
Mfg/Discrete\MRPII Systems
Mfg/Aero/Defense/Govt Contract\Integrated MRPII Systems
Mfg/Process\All Process Manufacturers
Product Description:
Avalon offers CIIM, a superior, time-based manufacturing, financial
and distribution solution for any environment. The CIIM modules inlude,
inventory management, Order Entry, Purchasing, Shop Floor Control, Bill
of Materils, Material Requirements Planning, Master Scheduling, Capacity
Resource Planning, Configurator, Accounts Receivable, Accounts Payable,
General Ledger.
The CIIM product family is built on an open systems architecture to
exploit the benefits of leasing-edge technology. CIIM is the only
integrated manufacturing solution that offers you multiple database
options (ORACLE and SYBASE), business templates in the form of CASE
diagrams and fourth-generation language (4GL) source code to allow you
to specify the systems you need.
Configuration Data:
not available
Pricing Information:
Varies based on modules and number of users
System Information:
9000/1200 HP-UX
HP VECTRA MS-DOS
HP VECTRA SCO-UNIX
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
CIM CAD
CIM600400000 ISV
CIMLINC, Inc.
1222 Hamilton Pkwy.
Itasca, IL 60143
Fax (708) 250-8513
Industry:
Mfg/Discrete\Computer-Aided Manufacturing
Product Description:
2-1/2-D, color, 32-bit CAD software for production design,
drafting, and dimensioning.
CIM CAD is a graphic software for production design, drafting, and
dimensioning. The double-prescision, fully interactive software shares
a common graphical user interface, CIM SHELL, with all other CIMLINC
applications. Its pop-up menus and on-screen icons access all common
geometric operations and drawings practices, making learning quick and
easy.
CIM CAD users can manipulate geometry by individual features or by
groups of entities available on any of 1,024 drawing layers. CIM CAD
has a powerful suite of geometry editing tools for use with non-CIMLINC
geometry including HP's ME-10 and ME-30 systems. This accelerates
downstream tasks such as manufacturing documentation, CNC programming,
and inspection. These tools also facilitate concurrent engineering and
offer greater speed and accuracy in design and drafting. CIM CAD
features include:
* Translation, rotation, scaling, copying, and mirroring of all
drawing and text elements.
* Dimensions associated to geometry.
* Macro-programmable user interface permitting automation of
repetitive tasks.
* Configurable control over line width, color and style.
* Input is from IGES, DXF, VDA, and a variety of "native mode"
translators.
* Output to HP's and several dozen other firms', plotters and
printers.
Configuration Data:
not available
Pricing Information:
Priced at $3,500 (pricing subject to change)
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
CIM CAD 3D
CIM600400000 ISV
CIMLINC, Inc.
1222 Hamilton Pkwy.
Itasca, IL 60143
Fax (708) 250-8513
Industry:
Mfg/Discrete\Computer-Aided Manufacturing
Product Description:
CIM CAD 3D is a powerful 3D design software package with full three
dimensional design capabilities for mechanical components.
CIM CAD 3D is a wireframe modeler which creates 3D objects from 3D
points, lines, arcs and splines of any users-definable degree. CIM CAD
3D uses the drafting and dimensioning methods familiar to users of 2D
packages, making 3D tools easy to learn and use.
Functionality includes 2D-like construction planes and
user-definable viewing plus capabilities to alter, assemble and verify
3D models. All these features are accessible through the graphical user
interface, CIM SHELL, which is common to all CIMLINC software. Input is
from IGES, DXF, VDA, and a variety of "native mode" translators.
CIM CAD 3D allows the user to expand his capabilities with add-on
modules that provide surface and solid modeling functions:
*With CIM CAD 3D Surfaces, users can generate swept surfaces of
revolution, ruled surfaces, skinned surfaces, and surfaces created from
profiles swept along a spline.
* With CIM CAD 3D SOLIDS, solid models may be created from swept
curves, multiple 2D profiles, and rotational geometry. Capabilities
include the creation of solids from curve profiles, editing of the
solid's profiles, wireframe geometry extracted from the solid models,
and multiple solids. Mass property calculations can be derived from
solid models. Hidden line removal and shaded image generation are also
supported.
Configuration Data:
not available
Pricing Information:
Priced from $5,500 to $10,000
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
CIM CAM
CIM600400000 ISV
CIMLINC, Inc.
1222 Hamilton Pkwy.
Itasca, IL 60143
Fax (708) 250-8513
Industry:
Mfg/Discrete\Computer-Aided Manufacturing
Mfg/Discrete\Numeric Control
Mfg/Discrete\Production Management
Product Description:
CIM CAM and CIM SURF are graphics-based CNC programming and machine
tool simulation packages. CIM CAM is for 2-1/2 axis work and up to
5-axis positioning. CIM SURF is for up to 5-axis contouring and 9-axis
positioning. Both accept CAD geometry from IGES, DXF, VDA, and a
variety of "native mode" translators. CIMLINC's CAM links to HP's ME-10
and ME-30 are particlarly tight.
CIM CAM is an interactive production tool that allows the
programmer to graphically create part geometries, tool and fixture
geometries and cutter paths for machining operations requiring 2-and 2
1/2-axis contouring and up to 5 axis positioning.
CIM CAM and CIM SURF use CIMLINC's common graphical user interface,
CIM SHELL, with single-key commands, pop-up menus, on-screen icons, and
"short strokes". The interface also powerful macro-programming
capabilities to customize CIM CAM and CIM SURF for unique applications.
CIM CAM supports programming for 2-axis and 4-axis CNC lathes plus
most mills and machining centers, wire EDMs, flame cutters and turret
punch presses. CIM SURF programs 3-axis and multi-axis contouring on
machining centers and aerospace profilers.
CIMLINC provides postprocessors to convert CIM CAM and CIM SURF
standard CL data output into machine tool-specific commands.
Configuration Data:
not available
Pricing Information:
$4500.00
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
CIM CUT
CIM600400000 ISV
CIMLINC, Inc.
1222 Hamilton Pkwy.
Itasca, IL 60143
Fax (708) 250-8513
Industry:
Mfg/Discrete\Numeric Control
Product Description:
Interference-free machining of complex, or sculptured,
surfaces--even when they have multiple intersections and overlaps--is
handled by CIM CUT, automatically and without intervention by operator
or programmer. Because it eliminates multiple design-to-manufacturing
iterations, CIM CUT is ideal for 3-axis machining tasks of molds, models
and dies.
With a variety of cutters, a vast number of complex shapes can be
machined simultaneously without fear of gouging any of the surfaces.
CIM CUT allows the user to restrict the machining of any single surface
by supplying a new evaluation boundary (NEB) for that surface and to
restrict the machining of multiple surfaces with the use of a window.
CIM CUT accepts data from CIMLINC's CIM CAD3D, CIM CAD and CIM SURF
applications, as well as from any of CIMLINC's translators, including
IGES, DXF and VDA.
CIM CUT has been made even more effective with new Roughing Module
for "hog out" machining on large molds and dies.
Benefits include:
*Less benching time needed to finish a mold, model or die surface.
*CAD/CAM machining operations can become more profitable.
*Greater control over costs is possible because of enhanced
predictability.
*Machine tools cut more chips per hour, increasing rates of return
on invested capital.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mfg/Discrete
CIM/JIT Discrete
JIT787660000 ISV
Fourth Shift Corporation
1705 S. Capital of Texas Hwy. #400
Austin, TX 78746
Charles W McCasland (800) 433-2467
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg / Ind Specific Solutions\Electric/Electronic Equip. Mfg
Mfg / Ind Specific Solutions\Furniture/Fixture Mfg
Product Description:
The CIM/JIT Discrete Manufacturing module provides all traditional
Financial, Inventory, Engineering, Costing and Customer Service modules
together with Modular Configuration Bill of Materials, Quotation and
Estimating using existing Bill of Materials and Routings and/or previous
work orders based on the highly flexible Oracle RDBMS. Full level
pegging (customer order to purchase part). The Discrete Manufacturing
is fully integrated with other CIM/JIT modules including Master
Scheduling, MRP, CRP, Finite Scheduling, Purchasing, Receiving,
Inspection, MRB Warranty/ Return Authorization, Consiguration, SPC
Interface Forecasting, Attendance, Payroll/Personnel, Product Costing,
Preventive Maintenance, Quality Assurance, Distribution Management,
System Utilities and Paperless Electronic Security. All applications
utilize the flexibility of the Oracle RDBMS and fourth generation
application tools.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP3000/900 MPE/iX
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
CIM/JIT MRPII
JIT787660000 ISV
Fourth Shift Corporation
1705 S. Capital of Texas Hwy. #400
Austin, TX 78746
Charles W McCasland (800) 433-2467
Industry:
Mfg/Discrete\MRPII Systems
Mfg/Aero/Defense/Govt Contract\Material Requirements Planning
Mfg/Custom\Material Req. By Project/Job
Product Description:
Includes fully integrated Financial, Distribution, Forecasting,
Planning, Job Costing, Finite Scheduling and support modules for MRPII
based on the highly flexible fourth generation Oracle RDBMS.
Multi-plant Master Scheduling, MRP and Finite Scheduling are included.
Data Collection available real time or batch remote with all necessary
barcode printing. The system support lot and serial tracking, multiple
cost techniques including WBS breakdown, project tracking, SPC, work
cell controller interface and fully automated procurement. The CIM/JIT
systems module provides user level security, printer control and user
variable reporting to screen or printer. Available modularly or in
packaged configurations. Includes "Windows" and "Electronic Paperless"
processing. Other optional modules include: Repetitive Field Order
Processing, Tooling Management, Preventive Maintenance, Statistical
Forecasting, Warranty and Return Authorization, EDI Procurement, EDI
Order Procssing, Quotation/Estimating, Payroll and Personnel.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP3000/900 MPE/iX
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
CIMpro
INT553660000 ISV
Intercim
501 E. Highway 13
Minneapolis, MN 55337-2877
FAX (612) 894-0399
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Aero/Defense/Govt Contract\All Aero/Defense/Govt Mfg
Mfg/Custom\All Custom Manufacturers
Product Description:
CIMpro is Intercim's functionally complete set of modules for
programming simple and complex NC/CNC machines at a single workstation.
CIMpro includes Intercim APT, IGES, input, the G-PO3T, the toolpath
verification and plot utility, with the same functionality that these
modules offer on mainframe computer systems.
Intercim APT can be used behind the major CAD systems to provide NC
processing for these systems, or for direct programming in the APA
language.
The Intercim Generalized Postprocessor (G-POST) provides a wide
range of support for 2- to 4-axis lathes, 3- to 5-axis mills, and all
types of NC/CNC equipment, including punch presses, flame and plasma-arc
cutters, wire EDM and waterjet cutting machines.
Configuration Data:
not available
Pricing Information:
$10,000 - $50,000
System Information:
HP VECTRA MS-DOS
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
CONTROL:Manufacturing
CIN452660000 ISV
Cincom Systems, Inc.
World Headquarters
2300 Montana Ave.
Cincinnati, OH 45211-3899
Tom Womeldorff (513) 662-2300
Industry:
Mfg/Discrete\MRPII Systems
Mfg/Aero/Defense/Govt Contract\Integrated MRPII Systems
Mfg/Process\Manufacturing MIS
Product Description:
CONTROL:Manufacturing is a comprehensive, integrated business
system for both growing and large manufacturers. Around a common base
of information disciplines, CONTROL:Manufacturing provides support for
manufacturing, distribution, and financial management.
CONTROL:Manufacturing is comprised of a series of primary and
advanced components, which can be configured to support discrete,
repetitive, contract-oriented, or batch process environments.
CONTROL:Manufacturing components can be implemented as a full business
system or as a plant-level factory management system. For larger
companies, both centralized and decentralized functions can be
integrated into a single distributed multi-site information system.
The unique architecture of CONTROL:Manufacturing has been designed
to leverage advances in computing technologies. It provides a smooth
migration path to the benefits of true Open Systems.
Primary modules: Bill of Material and Routings, Material Control,
Master Production Scheduling, Material Requirements Planning, Shop Floor
Control, Purchasing, Cost Management, Order Management, Accounts
Receivable, Accounts Payable, General Ledger.
Advanced Modules: Repetitive Manufacturing Control, Project
Manufacturing Control, Project Cost Control, Engineering Change Control
- Engineering Change Order Control - Part Revision Control - Document
Control - End Item Serial Number Effectivity, Lot/Serial Number
traceability, Multi-Site Forecast Management.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
COP/3000 - Customer Order Processing
DSF821410100 ISV
Dennis and Schwab Inc. (DSI)
17330 Newhope St. #A
Fountain Valley, CA 92708
Dennis Doi (714) 241-4550
Industry:
Mfg/Discrete\Order Management
Mfg/Custom\Order Management
Product Description:
COP/3000 maintaines and processes customer information, credit
checks, quotations, sales orders, acknowledgements, shippers, credit
memos and invoices. Finished goods inventory and allocations are
updated automatically by the order entry process. Other system features
include:
* Automatic pricing with applicable discounts and sales tax
calculations.
* Up to a three-way sales commission split per order.
* Configuration monitor designates output device by warehouse and
document type.
* Automatically closes orders when all line items are shipped
complete.
* Demand vs. supply inquiry and report provides the projected
on-hand balance of parts by comparing time-phased demands with
time-phased demands with time-phased supply.
* Open order reporting functions include Orders Needing Review,
Orders on Hold, Shippable Sales Orders, and Sales Order Backlog.
* COBOL source code.
Configuration Data:
not available
Pricing Information:
Value priced by HP model starting at $5,000
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
Complete Integrations Inc.
CINT5N660000 DAR
Complete Integrations, Inc
10621 - 124th St
Edmonton, Alberta
Canada T5N 1S5
FAX (403) 488-0402
Industry:
Mfg/Discrete\Distribution Management
Mfg/Custom\All Custom Manufacturers
Mfg/Discrete\All Discrete Manufacturers
Product Description:
- Varnet Application Software
- Addresses Financial, Distribution & Mfg.
Modules for Manufacturing Industry include:
* Bill of Materials
* Production Planning (MRP II)
* Production Activity Control
* Custom Job Shop
These combined make up an integrated solution library.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mfg/Discrete
Computer Interactive Integrated Distrib.
IIN857400000 Premier ISV
Avalon Software Inc.
3716 E. Columbia Dr.
Tucson, AZ 85714
FAX (602) 750-0822
Industry:
Mfg/Discrete\Distribution Management
Mfg/Process\Distribution Management
Wholesale Distribution\Pharmaceutical/Drugs
Product Description:
CIID (Computer Interactive Integrated Distribution) is available on
both ORACLE and SYBASE.
A completely modularized system, CIID encompasses Inventory, Bill
of Material, Order Entry, Configurator, General Ledger, Accounts
Receivable, Accounts Payable, and Purchasing. CIID tracks QOH and
stock-outs for single and multiple items at single or multiple
warehouses. It can handle shipments between warehouses, multiple
warehouse shipments of single/multiple product(s), receiving/inspection
at multiple warehouses and intransit shipments. CIID is able to produce
and track invoices, back orders, and purchase orders. Transactions are
updated at time of entry. Customer and vendor analysis, sales analysis
and cost and profit/gross margin analysis also included.
CIID is fully integrated with CIIM (IIS's Computer Interactive
Integrated Manufacturing).
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
Critical Resource Review
CCH466500100 ISV
Crowe, Chizek and Company
2100 Market Tower
10 West Market St.
Indianapolis, IN 46204
Mark Hemauer (317) 632-8989
Industry:
Mfg/Discrete\MRPII Systems
Product Description:
Critical Resource Review utilizes the information available in the
various MM II data bases to provide a review of orders scheduled through
a particular work center during a given time period. This provides
schedulers with the information they need to more efficiently schedule
orders through the shop.
The percentage of the total that a particular order has on the work
center is displayed allowing schedulers to make necessary adjustments to
maintain level work loads.
The module allows the user to quickly identify those orders making
up the total for each work center.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
Custom Order Management
CCH466500100 ISV
Crowe, Chizek and Company
2100 Market Tower
10 West Market St.
Indianapolis, IN 46204
Mark Hemauer (317) 632-8989
Industry:
Mfg/Discrete\Order Management
Mfg/Aero/Defense/Govt Contract\Order Management
Mfg/Process\Order Management
Product Description:
Crowe, Chizek as a "System Integrator" takes packaged software and
integrates the application with the business needs by providing the
interfaces, add-on modules, and customization needed to provide a near
100% solution.
Crowe, Chizek has developed expertise in design and development of
order management systems through many successful projects. Our
background and experience allows us to productively and efficiently
integrate application systems into new or existing systems.
Crowe, Chizek offers full migration, training, software
implementation and modification services.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP3000 MPE
HP3000/900 MPE/iX
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
Customer Order Processing (COP)
MCB910500100 ISV
MCBA, Inc.
330 N. Brand #700
Glendale, CA 91203
FAX (818) 500-4805
Industry:
Mfg/Discrete\Order Management
Wholesale Distribution\Durable Goods
Product Description:
Customer Order Processing (COP) provides:
* Controls access to data and applications with a flexible security
system.
* Accesses customers by name or number;
*Allows ordering by item number or description.
* Checks availability, and allocates inventory at entry time
* Performs on-line credit check.
* Allows drop shipped orders, blanket orders, and can consolidate
orders.
* Supports distribution from multiple warehouse locations.
* Provides automatic mass price change ability.
* Prints Order acknowledgements, picking tickets, and invoices.
* Fills back orders; prints both back order and order status
reports by item and/or by customer.
* Handles prices and discounts through flexible user-defined codes.
* Interfaces to MCBA's Accounts Receivable, General Ledger, Sales
History, and Inventory Management packages.
Configuration Data:
not available
Pricing Information:
$6,000 - $9,000
System Information:
HP3000/900 MPE/iX
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
Customer Order Processing (MCBA)
CIN787500200 DAR
Commercial Information Systems, Inc.
3918 Caney Creek Rd.
Austin, TX 78732-2214
Mark Butterfield (512) 266-9066
Industry:
Mfg/Discrete\Order Management
Wholesale Distribution\Durable Goods
Product Description:
* Accesses customers by name or number.
* Allows ordering by item number or partial description.
* If quantity ordered is greater than quantity available a
substitute item will display.
* Processes customer orders with either immediate invoicing,
recording any payment received, or later billing.
* Allows selective or full billing.
* Post Cost of Goods Sold using either the average, last or
standard cost from the Inventory Item file.
* Supports distribution from multiple warehouse locations.
* Performs on-line credit checks, verifies product availability,
and allocates stock at entry time.
* Can fill back orders when stock is received.
* Allows user-defined price breaks and discount schedules.
* Performs mass price changes.
* Allows drop shipped orders, blanket orders, and can consolidate
orders.
* Prints order acknowledgments and picking tickets. Interfaces
with MCBA's Accounts Receivable (AR), Inventory Management (IM) and
Sales History (SH) packages.
Configuration Data:
not available
Pricing Information:
Available upon request.
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
Customization Express
ECD074660000 ISV
Eagle Consulting & Development Corp.
170 Kinnelon Rd. #3
Kinnelon, NJ 07405
Fax (201) 494-2758
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Aero/Defense/Govt Contract\All Aero/Defense/Govt Mfg
Mfg/Process\All Process Manufacturers
Product Description:
A product designed to increase the productivity of users of
Hewlett-Packard Application Dictionary/Monitor based products (MM/3000,
PM/3000, MNT/3000, JIT/3000).
Contains some thirty modules in one integrated and menu-driven
on-line development, maintenance, and upgrade tool. Customization
Express copies customization, creates user exist, compares and reports
application information. This remarkably easy-to-use tool reduces the
time required to perform customization tasks; increases the accuracy of
customization; educates application support personnel; documents
customization; and helps to resolve application-related problems.
Customization Express solves difficulties in the support,
maintenance, and customization of Hewlett-Packard Application
Dictionary-based products.
Configuration Data:
not available
Pricing Information:
$6,200 + maintenance
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
DCI/3000 - Data Collection Interface
DSF821410100 ISV
Dennis and Schwab Inc. (DSI)
17330 Newhope St. #A
Fountain Valley, CA 92708
Dennis Doi (714) 241-4550
Industry:
Mfg/Discrete\All Discrete Manufacturers
Data Communications\System Programs & Utilities
Utilities/User Interfaces\Peripheral Support Utilities
Product Description:
DCI/3000 is a data collection interface package designed to support
Intermec bar code readers and printers connected to an Intermec port
concentrators (140 devices per HP port). DCI serves as a pathway
between bar code devices and the application software. It manages all
input/output operations between the HP 3000 host computer and the
readers and printers. DCI eliminates the need for special I/O protocol
in application software. It also checks field entries for appropriate
values, issues error messages, formats and prints code labels from a DCI
label print spooller. An operator's console can be used to monitor and
control the status of all bar code devices and messages sent between
application and device.
Configuration Data:
not available
Pricing Information:
Value price by HP model starting at $2,500
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
DSP/3000
DPA441500200 VAR
DeCarlo, Paternite & Assoc., Inc. (DPAI)
6133 Rockside Rd. #400
Independence, OH 44131-2220
William R. Daberko (216) 524-2121
Industry:
Mfg/Discrete\Order Management
Mfg/Process\Order Management
Wholesale Distribution\Pharmaceutical/Drugs
Product Description:
A unique network-based order processing and inventory control
system for companies with multiple distribution centers operating in a
decentralized mode, but requiring centralized financial control and
auditability. Through DSP/3000, order analysts (armed with a telephone
and computer terminal) can immediately respond to a customer inquiry.
DSP/3000 not only maintains inventory information, but it enters and
processes orders, generates picking, packing and shipping documents and
ultimately invoices.
Highlights of DSP/3000 includes: Improved customer service through
multiple warehouse checking from a single workstation. Enhances
inventory picking efficiency. Provides on-line pricing by quantity,
contract, or "special deals." Provides the ability to maintain customer
credit status at a central location. Acceptance and processing of
orders through an electronic order input interface. Allows on-line
entry of a new customer during order entry. Ability to check inventory
availability at remote warehouses and electronically drop ship to the
customer.
Accommodates single line items to be shipped from multiple
warehouses, maximizing the use of available inventory and satisfying
customer demands for immediate shipments. Identification and
classification of state and local taxes, as well as freight and handling
charges. Optional simultaneous invoicing at shipment time through the
use of a "common" picking/packing and invoicing document. Expedites
inventory replenishments through an on-line "transfer order entry"
procedure that automatically transmits the request to the appropriate
manufacturing facility or warehouse. Automatically evaluates
"backorders" for shipment upon receipt of a transfer or stock
replenishment based on user-definable priority settings.
The above mentioned highlights describe only a portion of DSP/3000.
Many additional features are included providing value added benefits to
system users. "Available on the TurboIMAGE and ALLBASE/SQL".
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
EASYPRO-I
PYT951660000 ISV
Python Software Inc.
785 Union Ave.
Campbell, CA 95008-5503
Fax (408) 371-5824
Industry:
Mfg/Discrete\All Discrete Manufacturers
Product Description:
EASYPRO-I is a fully featured production control system which is
easy to learn and operate, and provides a high level of flexibility
designed to improve customer service and responsiveness. The package is
written in a 4GL language which allows changes and customization at
minimum cost. Package functions include:
* customer quotations
* customer orders
* finished goods stock control
* work order control
* production bills of material and routings
* flexible allocation to customer orders
* contractor orders
* purchase orders
* master production schedule
* material requirements planning
* product costing
* work in progress costing
* job costing
* scrap and rework
* customer sales analysis
* financial system interfaces.
Additional Comments: On site installation support and customization
offered
Configuration Data:
not available
Pricing Information:
$25,000 to $60,000 Depending upon number of users
System Information:
HP3000/900 MPE/iX
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
EDI WINDOWS
TRI481660000 DAR-TS (TOP SELLERS)
Trinary Systems Inc.
38345 W. Ten Mile #330
Farmington Hills, MI 48335
Donald Draheim (313) 442-8540
Industry:
Mfg/Discrete\All Discrete Manufacturers
Data Communications\System Programs & Utilities
Wholesale Distribution\Automotive Products
Product Description:
EDI WINDOWS is a translation and control system which can support
multiple versions and implementations of X12, EDIFACT, TDCC, USC and
WINS standards. Connections to all public Value Added Networks and most
private networks are available.
Pop up windows, pull down menus and on-line help make EDI Windows
easy to use. Flexible, on-line mapping simplifies EDI data integration.
And to automate the entire communications, transfer data, execute EDI
translation, send mail, create reports, update applications, extract
data from applications, and/or execute user programs. "Jobs" can run on
demand, on an attended schedule, or can be called from within user
programs.
Companies using EDI WINDOWS can enjoy the full benefits of EDI,
including cost savings, improved accuracy, reduced turnaround time and a
geater competitive edge.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP3000/900 MPE/iX
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
FRD Referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
EDI/3000
OBASEGZ00000 ISV
Perwill EDI, Inc.
6133 Rockside Dr. #402
Cleveland, OH 44131-2220
William Bromley (216) 642-7565
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Process\All Process Manufacturers
Marketing, Sales & Service\Order Management
Product Description:
EDI/3000 operates on all HP 3000 platforms and offers the highest
level of functionality for both interactive and batch processing. It
also offers integration with existing existing HP 3000 applications with
a batch update facility EDI/3000 is made up of four modules EDIPARSE,
EDIFORM, EDILINK and EDIMGR. EDIFORM is a data reformatting tool,
allowing easy translation from application output files ready for EDI
translation and packing for transmission and creating files suitable for
application integration. EDIFORM includes the ability to carry out data
item cross referencing, code lock-ups, substitution and static data
entry. EDIPARSE will translate to and from all currently supported data
interchange standards. EDILINK controls transmission and will transmit
and receive EDI messages from any selected value added network. EDIMGR
controls and audits the other processes within EDI/3000 and ensures that
application data files are correctly processed into EDI packages when
needed.
EDI/3000 supports all the major standards and connects to all the
major networks throughout the world.
Configuration Data:
not available
Pricing Information:
$7,200 - $27,000
System Information:
HP VECTRA MS-DOS
HP3000 MPE
HP3000/900 MPE/iX
HP9000/300 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
EDL
CDA551660000 VAR
Control Data Corporation
4201 N. Lexington Ave.
St. Paul, MN 55126
FAX (612) 482-4350
Industry:
Mfg/Discrete\All Discrete Manufacturers
Data Management\Database Management Systems
Data Management\Document Retrieval
Product Description:
Control Data's EDL is a Product Data Management (PDM) system that
helps manufacturing organizations locate, relate, move and manage
information. EDL simplifies computer usage for end users by providing a
window/mouse based interface environment through which all data,
applications and systems are accessed.
EDL Manages data created by engineering point solutions
(CAD/CAM/CAE applications, word processsing tools, imaging systems). It
provides for the set up and use of distributed data review and release
processes, electronic sign-offs and data vault deposit of released
information. EDL also supports standardized part numbering systems and
engineering bills of materials.
EDL operates in a heterogeneous, distributed computing. It is a
field proven, production worthy solution with Off-the-shelf
functionality and extensive tailoring tools for site specific
requirements. Control Data has over 10 years of experience in the PDM
business. EDL includes six modules:
* EDL Network Information Manager which is the base EDL application
* EDL Release Manager for review, approval, event triggering and
notifications
* EDL Product Structure Controller for bill of material and design
data management
* EDL Network Administrator for distributed data and application
management from a single point
* EDL Plot/Print Facilitator for netowrk-wide plotting and printing
services
* EDL Integrator for application integration and the tailoring of
EDL itself
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
EMIS
EIN142660000 DAR
ESI - Technologies, Inc.
237 Main St. 7th Fl.
Buffalo, NY 14203-2702
Ralph J Proulx (716) 852-8000
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Discrete\Manufacturing MIS
Mfg/Discrete\MRPII Systems
Product Description:
ESI - Technologies is an international organization headquartered
in Buffalo, New York, with exclusive EMIS (ESI Management Information
Systems) distributors worldwide. With decades of experience in
integrated manufacturing/distribution information systems, ESI has
achieved a solid reputation of excellence from its worldwide customers/
not simply excellence of our product but excellence in our professional
services, installation, customer support, and education. Our customers
view us as a member of their team in providing information systems
solutions.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP3000/900 MPE/iX
HP9000/300 HP-UX
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
EMIS - Bill of Material
EIN142660000 DAR
ESI - Technologies, Inc.
237 Main St. 7th Fl.
Buffalo, NY 14203-2702
Ralph J Proulx (716) 852-8000
Industry:
Mfg/Discrete\Bill of Materials
Mfg/Custom\All Custom Manufacturers
Mfg/Support\General Manufacturing Mgmt
Product Description:
One of the most important systems required within a manufacturing
company is the Bill of Material. This system is critical because it
stores gross requirements and parent-component relationships. The ESI
Management Information Systems (EMIS) Bill of Material stores this
information in single or multiple level format and will furnish lists
where individual items are used within the bills of material. The Bill
of Material processor can easily add, change or delete items,
descriptions, gross requirements, quantities, and effectivity dates.
The system allows a bill of material for phantom assemblies.
Global changes, substitutes, and deletes within a bill, a range of
bills, or for all bills, is accommodated. A complete cost roll-up
system calculates the latest component costs up to the current level.
Cost roll-ups can be performed for one part, a list of parts, or all
parts. Effectivity dates allow component changes to occur automatically
on a desired date.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP3000/900 MPE/iX
HP9000/300 HP-UX
HP9000/800 HP-UX
Product Type:
FRD Referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
EMIS - Cost Accounting - Job Costing
EIN142660000 DAR
ESI - Technologies, Inc.
237 Main St. 7th Fl.
Buffalo, NY 14203-2702
Ralph J Proulx (716) 852-8000
Industry:
Mfg/Discrete\Cost Accounting
Accounting\Cost Accounting
Mfg/Custom\Cost Accounting
Product Description:
Whether you are a manufacturer that has a requirement to
occasionally control costs by job or strictly a job shop, The ESI
Management Information Systems (EMIS) Job Costing Management System is
for you. This system allows you to improve your profitability by
providing your business with detailed reports of all the costs related
to a particular job or project. By interfacing with other essential
EMIS modules such as Bill of Material, Inventory Management, operational
Routing, and Production Management you are provided with a complete and
integrated solution. Beginning with Estimates and Quotations, the
system automatically sets up customer orders based on accepted
quotations. Job Costing reports potential cost overruns before a job is
complete, so that you may still take corrective action. These detailed
reports will give you the data needed to make more competitive
quotations for future work. Integration with other EMIS modules insure
that only the latest information is used when preparing Estimates and
Quotations.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP3000/900 MPE/iX
HP9000/300 HP-UX
HP9000/800 HP-UX
Product Type:
FRD Referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
EMIS - Forecast Management
EIN142660000 DAR
ESI - Technologies, Inc.
237 Main St. 7th Fl.
Buffalo, NY 14203-2702
Ralph J Proulx (716) 852-8000
Industry:
Mfg/Discrete\Forecasting
Accounting\Forecasting
Mfg/Custom\Forecasting
Product Description:
Every aspect of a company is concerned with the future. With the
ESI Management Information System (EMIS) Forecast Management System, a
company can prepare itself to meet future customers' needs. The EMIS
system utilizes four forecasting methods - Simple Average, Simple Moving
Average, Linear Regression, and the Seasonal Method. EMIS Forecast
Management interfaces with the Master Production Schedule module to
update the MPS with the outcome from a selected forecast method, while
also interacting with the Sales Order Management module to gain
information relating actual sales to forecast sales. Since all of the
planning activity in a company deals with the future, much of the
organization will work with and benefit from sales forecasts.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP3000/900 MPE/iX
HP9000/300 HP-UX
HP9000/800 HP-UX
Product Type:
FRD Referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
EMIS - Master Production Schedule
EIN142660000 DAR
ESI - Technologies, Inc.
237 Main St. 7th Fl.
Buffalo, NY 14203-2702
Ralph J Proulx (716) 852-8000
Industry:
Mfg/Discrete\Master Scheduling
Accounting\Multifunctional Accounting Pkg
Mfg/Support\Master Scheduling
Product Description:
The first step for any manufacturing company utilizing a
closed-loop MRP II System is in planning and forecasting. Based on the
business plan, your company creates a production scheme that reflects
the business plan with respect to planned rate of production. This is
expressed by the product and usually for monthly intervals. This
schedule is referred to as the Master Production Schedule. The ESI
Management Information Systems (EMIS) Master Production Schedule
specifically outlines the sales and forecasted demands for models while
taking into consideration customer orders, warehouse demands and
finished goods requirements.
The system supports 52 time intervals and is consumed through work
order activity. The system allows for both dependent and independent
demands. The EMIS Master Production Scheduling System interfaces with
all other EMIS modules and also allows integration with Electronic Data
Interchange (EDI).
Configuration Data:
not available
Pricing Information:
100% ORACLE/SQL Available upon request
System Information:
HP3000/900 MPE/iX
HP9000/300 HP-UX
HP9000/800 HP-UX
Product Type:
FRD Referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
EMIS - Material Requirements Planning
EIN142660000 DAR
ESI - Technologies, Inc.
237 Main St. 7th Fl.
Buffalo, NY 14203-2702
Ralph J Proulx (716) 852-8000
Industry:
Mfg/Discrete\MRPII Systems
Accounting\Multifunctional Accounting Pkg
Mfg/Support\Manufacturing Information Sys.
Product Description:
The ESI Management Information Systems (EMIS) Material Requirements
Planning System compares requirements, from sales orders and previously
defined forecasts against current stock-on-hand and planned receipts, to
produce a Master Planning Schedule. The system then explodes each
assembly on the master plan to consider the requirements for individual
components, also considering the known lead time for procurement.
Shortages as well as overstock conditions are noted. This means you can
maintain your inventory at the most efficient level to maximize
productivity, profits and customer service. By indentifying time phase
requirements for components and suggesting a plan to replenish it, the
EMIS Material Requirements Planning System can help your company meet
delivery schedules better than 95% of the time.
The EMIS MRP module interfaces completely with other EMIS modules
such as Order Management, Purchasing, Inventory Management, Bill of
Materials, Master Production Scheduling and Capacity Requirements
Planning.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP3000/900 MPE/iX
HP9000/300 HP-UX
HP9000/800 HP-UX
Product Type:
FRD Referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
EMIS - Tooling/Equipment Control
EIN142660000 DAR
ESI - Technologies, Inc.
237 Main St. 7th Fl.
Buffalo, NY 14203-2702
Ralph J Proulx (716) 852-8000
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Support\General Manufacturing Mgmt
Mfg/Discrete\Production Management
Product Description:
Preventative maintenance is important to preserve the equipment and
even more important to preserve quality. The ESI Management Information
Systems (EMIS) Tooling and Equipment System can track frequency of use,
last date used, and when last maintained.
Features:
* This system is written in 100% ORACLE/SQL.
* Tool maintenance scheduling (Daily/Weekly/Monthly).
* Maintenance frequency by days, hours used, or pieces produced.
* Downtime history reported.
* Can track and report labor hours spent on repair.
* Preventative maintenance scheduling for equipment
(Daily/Weekly/Monthly).
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP3000/900 MPE/iX
HP9000/300 HP-UX
HP9000/800 HP-UX
Product Type:
FRD Referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
Escom MRP
ESC980660000 DAR
Escom Inc.
10502 N.E. 37th Circle
Kirkland, WA 98033
Lou Kern (206) 828-0095
Industry:
Mfg/Discrete\MRPII Systems
Product Description:
Manufacturing package for discrete manufacturing primarily in sheet
metal fabrication, mechanical and equipment markets in the $10-$50
million range.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mfg/Discrete
FACTORYnet I/S
INT553660000 ISV
Intercim
501 E. Highway 13
Minneapolis, MN 55337-2877
FAX (612) 894-0399
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Aero/Defense/Govt Contract\All Aero/Defense/Govt Mfg
Mfg/Custom\All Custom Manufacturers
Product Description:
FACTORYnet I/S has evolved from a Distributed Numerical Control
(DNC) system to a full manufacturing information management and
distribution system. Successful implementations and partnerships with
customers have made this evolution possible. Production personnel with
the correct revisions of drawings, specifications, procedures, and
instructions make a better product and make it right the first time.
FACTORYnet I/S provides the information so that factory floor personnel
know when all the materials are ready to begin a job, which jobs have
the highest priority, which machines to use, and what process and
operation sequence to use. They can display graphical information or
"electronic blueprints" as well as textual information on shop-floor
workstations. FACTORYnet I/S makes the right versions of numerical
control (NC) programs readily available for the appropriate people and
machines. When operators are ready to transmit instructions to their
machines, FACTORYnet I/S ensures quick, error-free transmission.
Configuration Data:
not available
Pricing Information:
$5,000 - $350,000
System Information:
HP VECTRA MS-DOS
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
FACTORYnet Q/S
INT553660000 ISV
Intercim
501 E. Highway 13
Minneapolis, MN 55337-2877
FAX (612) 894-0399
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Aero/Defense/Govt Contract\All Aero/Defense/Govt Mfg
Mfg/Process\All Process Manufacturers
Product Description:
FACTORYnet Q/S is Intercim's UNIX management system for real-time
SPC/SQC monitoring of factory floor procresses. It provides automated
data analysis and interpretation, producing an alarm only when there is
a detected change in the process. Status screens allow users to view
the current state of their processes as well as to investigate alarm
conditions. Q/S provides high speed SPC analysis of up to 1,000
variables per second on mid-range computers. With its moduar, open
system design, this product supports multi-vendor, mixed operating
system environments.
Configuration Data:
not available
Pricing Information:
$20,000 - $400.000
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
FAN3000
ADV462660000 ISV
Advacate, Inc.
6435 Castleway Dr. #120
Indianapolis, IN 46250
FAX (317) 842-7188
Industry:
Mfg/Discrete
Mfg/Process\Management Reporting
Mfg/Discrete\Management Reporting
Product Description:
The Financial Report Writer (FAN3000) is a general ledger report
writer and spreadsheet consolidator. The system was developed to allow
accounting personnel to design their own reports without the familiarity
of data processing internal structures.
Reports are free form style with up to 18 user defined columns and
an unlimited number of rows. Each column and line can be independent
of, or interdependent on each other. The reports may be manually built
on a line-by-line basis, or built automatically from a a defined
selection criteria.
Each column or cell may be a posting account, total account, or a
user defined variable. Variables may be a combination of accounts or
other variables and may be added, subtracted, divided or multiplied by
any other variable. For spread or variable budgeting, variables may be
created which are percentages of another account.
The system also utilizes the total accounts within your general
ledger. It eliminates or minimizes the use of variables by organizing
your chart of accounts to take full advantage of your total accounts.
Configuration Data:
not available
Pricing Information:
$4,000
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
FM
ADA782660000 DAR
AEC Data Systems
400 Northwest Center
7550 IH 10 West
San Antonio, TX 78229
FAX (210) 308-9001
Industry:
Mfg/Discrete\Maintenance Management
Mfg/Process\Maintenance Management
Property Management\Facilities Management
Product Description:
DOC provides today's facility manager with state of the art
technology designed to incorporate the latest techniques for real
property maintenance, repair and new construction. Key functions of the
system include work order management, preventative maintenance,
estimating, inventory control, work center scheduling, labor and
material use tracking, service call management, job tracking, and much
more. The use of Performance Standards, workflow modeling and status
tracking allow you to establish milestones, identify bottlenecks and
improve the productivity of your organizations.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
9000/1200 HP-UX
HP VECTRA SCO-UNIX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mfg/Discrete
FSA3000
ADV462660000 ISV
Advacate, Inc.
6435 Castleway Dr. #120
Indianapolis, IN 46250
FAX (317) 842-7188
Industry:
Mfg/Discrete
Mfg/Process\Forecasting
Mfg/Discrete\Forecasting
Product Description:
The Forecasting and Sales Analysis System (FSA3000) enables you to
accurately project the future demand for your products. Projection uses
historical data and statistical formula to give you a more accurate
model of what to expect in the future.
Using a simulation process, FSA3000 automatically selects the best
statistical model for each product from among proven statistical
forecasting techniques. With FSA3000 you can examine any forecast model
and immediately see future sales dollars and quantities for products and
product groups.
Sales analysis is facilitated by summary databases which provide
data for crucial sales reports from bookings, backlog, and shipping.
Configuration Data:
not available
Pricing Information:
$9,000 - $15,000
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
FactoryLink
USD750660000 ISV
United States Data Corporation
2435 No. Central Exp.
Richardson, TX 75080
Fax (214) 699-8318
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Aero/Defense/Govt Contract\All Aero/Defense/Govt Mfg
Mfg/Process\All Process Manufacturers
Product Description:
FactoryLink is a real-time application enabler software system for
process control and manufacturing automation in distributed, multivendor
environments. It is a powerful, feature-rich family of application
modules that users configure, without programming, to implement
real-time information management and control functions, including:
*Supervisory Control
*Data Acquistion
*Monitoring
*Analysis
*alarms Handling
*Grapics Display
*Reporting
*Information Management
Built upon USDATA's patented Open Software Bus architecture,
FactoryLink IV offers a uniform solution for creating
platform-independent applications, while integrating diverse and
changing hardware and software standards from different vendors.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
FRD Referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
Financial Accounting Systems-MAP/3000
IDI943500100 ISV
INTERTEC Diversified Systems, Inc.
150 Grant Ave.
Palo Alto, CA 94306
FAX (415) 326-0579
Industry:
Mfg/Discrete\All Discrete Manufacturers
Product Description:
General Purpose, HP Specific, Integrated Manufacturing with
Financial Accounting and Net Change MRP II in COBOL II. MAP/3000 is a
General Purpose Integrated Manufacturing System with Financial
Accounting for the HP 3000 marketplace. It has the following modules:
General Ledger, Accounts Receivable, Accounts Payable and Financial
Report Writer.
And the following features:
Human Engineering Screens - Comprehensive User Documentation -
Multi-Level Security - Complete Audit Trails - Full Database Logging -
Menu Driven - COBOL II Programming Language - Batch Program Initiator -
Single Database Design - Net Change MRP II - On-line/Real Time - IMAGE
Database - Available in Source Code - Completely Interactive - and
Worldwide support. Integrated Inventory, Shop Floor, Sales-Order Entry
Module are also available, see our listing under MAP/3000.
Toll Free Numbers: U.S. (800) 872-7897; CA (800) 872-7979.
Configuration Data:
not available
Pricing Information:
$500 to $1500 per module
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
Flat Pattern Development Package
OBASEQA00000 Premier VAR
Auto-trol Technology Corp.
12500 N. Washington St.
Headquarters
Denver, CO 80241-2406
FAX (303) 252-2249
Industry:
Mfg/Discrete\Numeric Control
Mfg/Aero/Defense/Govt Contract\Numeric Control
Mfg / Ind Specific Solutions\Fabricated Metal Products Mfg
Product Description:
The Flat Pattern Development Package interactively construct
correctly dimensioned flat outline of a 3D model consisting of planar
surfaces. User starts with a wireframe model, selects and unfolds each
side until the figure is flat. Then using the material thickness and
neutral axis position specified by the user, the flattened pattern is
adjusted to reflect the correct dimensions for a sheet of material prior
to folding.
Prerequisite: Series 7000 Graphics Software
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
FourGen Inventory Control
FTE980660000 Premier ISV
FourGen Software, Inc.
115 N.E. 100th St.
Seattle, WA 98125-8098
FAX (206) 522-0053
Industry:
Mfg/Discrete\Inventory Control
Product Description:
FourGen Inventory Control (I/C) provides the ease of use, access to
information, and flexibility that you need to do the job right. FourGen
utilizes industry standard SQL relational database technolgy to enhance
this powerful information management system. This is the first
inventory control system that was built to be easily changed. The
source code was written so that is could be altered to fit changing
needs.
Features of FourGen Inventory Control: Find any group of inventory
items or documents using query-by-forms, "Browse" inventory of document
files as a list, "Zoom" during data entry to query any related file,
Automatically convert prices and quantities among buying, stocking,
invoices, and shopping units, Associated an inventory item with its own
unique ledger accounts for inventory, cost of goods, and sales, Track
both stock and non-stock items,
Produces the following reports:
ABC analysis (on cost, price or margin), Stock Status, Price/Cost
List, Reorder Advice, I/C Journal Report, Purchase/Sale History, Summary
and Detail Inventory List, Transaction Edit and Posting Lists.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
GRMS
GEN480660000 DAR
Genzlinger Associates, Inc.
5700 Crooks Rd. #212
Troy, MI 48098
FAX (313) 879-6352
Industry:
Mfg/Discrete\MRPII Systems
Mfg / Ind Specific Solutions\Transportation Equipment Mfg
Mfg/Discrete\Release Accounting
Product Description:
GRMS (Genzlinger Repetitive Manufacturing System) is a highly
integrated manufacturing and accounting control system for repetitive
manufacturers. One of its key strengths is a fully integrated EDI
application that drives the manufacturing control cycle and produces
manufacturing and purchasing release schedules. Release schedules can
be automatically faxed or transmitted (4th quarter 1993) to suppliers.
Another key strength is a fully integrated factory data collection
system. Specific modules include:
"EDI" Release/Shipment Communications - Customer Order Processing -
Master Production Scheduling - Material Requirements Planning - Purchase
Order Processing - Inventory Management - Bill of Materials - Routing -
Standard Cost - Production Activity Reporting - Factory Data Collection
- Statistical Process Control - Accounts Payable - Accounts Receivable -
General Ledger - Sales History and Forecasting - Payroll - and Multiple
Currency.
GRMS has a powerful Report Writer and can be teamed with the
Progress RDBMS to produce custom applications and more demanding
reports. The system operates on Hewlett-Packard 9000 Series 800
computer systems.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP VECTRA SCO-UNIX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mfg/Discrete
GRMS
GEN480660001 DAR
Genzlinger Associates, Inc.
27752 Blossom Blvd.
North Olmsted, OH 44070
FAX (216) 734-1008
Industry:
Mfg/Discrete\MRPII Systems
Mfg / Ind Specific Solutions\Transportation Equipment Mfg
Mfg/Discrete\Release Accounting
Product Description:
GRMS (Genzlinger Repetitive Manufacturing System) is a highly
integrated manufacturing and accounting control system for repetitive
manufacturers. One of its key strengths is a fully integrated EDI
application that drives the manufacturing control cycle and produces
manufacturing and purchasing release schedules. Release schedules can
be automatically faxed or transmitted (4th quarter 1992) to to
suppliers. Another key strength is a fully integrated factory data
collection system. Specific modules include:
"EDI" Release/Shipment Communications - Customer Order Processing -
Master Production Scheduling - Material Requirements Planning - Purchase
Order Processing - Inventory Management - Bill of Materials - Routing -
Standard Cost - Production Activity Reporting - Factory Data Collection
- Statistical Process Control - Accounts Payable - Accounts Receivable -
General Ledger - Sales History and Forecasting - Payroll - Multiple
Currency.
GRMS has a powerful Report Writer and can be teamed with the
Progress RDBMS to produce custom applications and more demanding
reports. The system operates on Hewlett-Packard 9000 Series 800
computer systems.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP VECTRA SCO-UNIX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mfg/Discrete
Gemstone/GEODE OODBMS & Tools
SER945660000 ISV
Servio Corporation
2085 Hamilton Ave., #200
San Jose, CA 95125
Ed Bierdeman (408) 879-6211
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Support\Manufacturing Information Sys.
Office Automation\Decision Support Systems
Product Description:
Gemstone is the leading object oriented database for commercial
applications. GEODE is a complete object oriented visual development
environment. The resultant combination is a powerful, easy to use set
of tools that Servio customers have used to solve mission critical
production requirements in manufacturing, financial, and health science
applications areas. Gemstone is a mature product with the full
production features such as disk mirroring, on-line back-up and
recovery, security, and referential integrity. Gemstone supports C++
and small talk interoperability, gateways to RDBMS products, and full
KANJII. GEODE enables object application development through on-screen
visual programming.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
Generalized Lathe Postprocessor
OBASEQA00000 Premier VAR
Auto-trol Technology Corp.
12500 N. Washington St.
Headquarters
Denver, CO 80241-2406
FAX (303) 252-2249
Industry:
Mfg/Discrete\Numeric Control
Mfg/Aero/Defense/Govt Contract\Numeric Control
Mfg/Custom\Numeric Control
Product Description:
The Generalized Lathe Postprocessor or LATHE G-POST is used to
create postprocessors specifically for NC-controlled lathes. It is a
fully intelligent, menu-driven, post-processor development tool. The
software contains all modules and tools required for this development
process. Included are installation instructions, Software User's
Manual, NC Programmers Guide, and a G-POST Systems Manual.
Recommended: Complete Numerical Control Package or any NC module +
Series 7000 Graphics Software. Compiler - Knowledge of FORTRAN
Programming
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
Generalized Mill Postprocessor
OBASEQA00000 Premier VAR
Auto-trol Technology Corp.
12500 N. Washington St.
Headquarters
Denver, CO 80241-2406
FAX (303) 252-2249
Industry:
Mfg/Discrete\Numeric Control
Mfg/Aero/Defense/Govt Contract\Numeric Control
Mfg/Custom\Numeric Control
Product Description:
The Generalized Mill Postprocessor or MillG-POST is used to create
postprocessors specifically for NC-controlled Mills, Flame Cutters,
Punch Presses, EDMs and other mill-type machine tools. It is a fully
intelligent, menu-driven, postprocessor development tool. The software
contains all modules and tools required for this development process.
Included are installation instructions, Software User's Manual, NC
Programmers Guide, and a G-POST Systems Manual.
Recommended: Complete Numerical Control Package or any NC
module-Series 7000 Graphics Software.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
Generalized Postprocessor (G-POST)
OBASEQA00000 Premier VAR
Auto-trol Technology Corp.
12500 N. Washington St.
Headquarters
Denver, CO 80241-2406
FAX (303) 252-2249
Industry:
Mfg/Discrete\Numeric Control
Mfg/Aero/Defense/Govt Contract\Numeric Control
Mfg/Custom\Numeric Control
Product Description:
The Generalized Postprocessor (G-POST) is used to create
postprocessors for specific NC Machine and Numerical Control
combinations. It is a fully intelligent, menu-driven, postprocessor
development tool. G-POST can be used to create postprocessors for
virtually any Machine/Control combination. The software contains all
modules and tools required for this development process. Included are
installation instructions, Software User's Manual, NC Programmers Guide,
and a G-POST Systems Manual.
Recommended: Complete Numerical Control Package or any NC module +
Series 7000 Graphics Software.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/700 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
GrowthPower
SPE018660000 Premier VAR
Spectrum Associates, Inc.
600 W. Cummings Park
Woburn, MA 01801
FAX (617) 932-3878
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Discrete\Financial Accounting Systems
Mfg/Discrete\MRPII Systems
Product Description:
GrowthPower is a full-featured manufacturing, purchasing, customer
service, financial, and management reporting system. GrowthPower
includes core modules used to manage MRP, scheduling, inventory control,
and accounting, and related modules for such functions as product
configuration, cost estimating and quotations, warranty tracking, EDI
and credit and collections. Over 1,000 referenced users around the
world use GrowthPower for fast, reliable solutions to the challanges of
manufacturing operations.
Core modules include:
Engineering data control - Shop floor control - Master Production
scheduling - MRP - Order management - Inventory control - Financials -
Purchasing - and CRP.
Related Modules include:
Repetitive manufacturing/JIT - Product configurator - EDI- Sales
forecasting - Credit and collections - Purchase requisitions -
Management reporting - Lot control - Cost estimating and quotations - PC
integration - Warranty tracking - Vendor quote tracking - and Asset
tracking.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
9000/1200 HP-UX
HP VECTRA MS-DOS
HP3000 MPE
HP3000/900 MPE/iX
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mfg/Discrete
IBS/Inventory
DPA441500200 VAR
DeCarlo, Paternite & Assoc., Inc. (DPAI)
6133 Rockside Rd. #400
Independence, OH 44131-2220
William R. Daberko (216) 524-2121
Industry:
Mfg/Discrete\Inventory Control
Distribution for Manufacturers\Durable Goods
Mfg/Process\Inventory Control
Product Description:
Comprehensive Inventory Management software designed and developed
to support all types of business including manufacturers, distributors
and service organizations requiring flexible, sophisticated processing
and reporting tools. IBS/Inventory has been developed specifically for
the Hewlett-Packard architecture and adapts easily to a variety of
business environments through its flexible, user-definable processing
logic control tables.
Highlights include: User-definable inventory categories (finished
goods, raw materials, etc.) and "Rules and Procedures." User-definable
perpetual inventory balances and transaction processing logic.
User-definable cost categories (standard, lifo, fifo) and cost elements
(material, labor, burden). Multiple stocking locations including
flexible, unlimited "Bin" control and "Lot Tracing" capabilities.
Multiple units-of-measure and conversion factors optional by part.
Uninterrupted daily processing during physical inventories.
Powerful inventory management reports including "ABC" analysis,
inventory turnover and inventory valuation. Extensive on-line inquiry
and reporting capabilities. Multi-level, user-definable function keys.
Complete bill of materials, routings, ECN and standard cost processes
are available if needed. All of D.P.A.I.'s software is developed with
strict adherence to standards and utilize common Hewlett-Packard tools
and aids. Transaction level security, multi-level on-line help
facility, complete system documentation, comprehensive support and
customization services are provided.
D.P.A.I.'s IBS/INVENTORY can be run as a stand-alone system, as an
integrated system with other D.P.A.I. "IBS" products, or be interfaced
with non-D.P.A.I. products easily and quickly. See our other "IBS"
listings for more information.
"Available on both TurboIMAGE and ALLBASE/SQL".
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
IBS/Materials Manager
DPA441500200 VAR
DeCarlo, Paternite & Assoc., Inc. (DPAI)
6133 Rockside Rd. #400
Independence, OH 44131-2220
William R. Daberko (216) 524-2121
Industry:
Mfg/Discrete\Material Handling/Control
Distribution for Manufacturers\Durable Goods
Mfg/Process\Material Control
Product Description:
Comprehensive materials management software designed and developed
to support all types of business including manufactures, distributors
and service organizations requiring flexible, sophisticated processing
and reporting tools.
IBS/Materials Manager has been developed specifically for the
Hewlett-Packard architecture and adapts easily to a variety of business
environments through its flexible, user-definable processing logic
control tables. Transaction level security, multi-level on-line help
facility, complete user, system and technical documentation, and
comprehensive support and customization services are provided.
The application software incorporates those features that are
standard in all material management systems but includes many unique
features and concepts including:
* User-definable "cost" categories and elements.
* User-definable perpetual inventory balances and transaction
processing logic.
* Sophisticated tracking of materials to and from outside
processors.
* Purchasing "scratch pad" ability to record vendor quantity and
price quotes.
* "User-definable" order processing flows, edits and interfaces.
* Multiple units-of-measure and conversion factors.
* Multiple stocking locations including flexible, unlimited "bin"
control and "lot tracing" capabilities.
* Extensive, flexible on-line inquiries and reports into current
and historical data.
* D.P.A.I.'s IBS/Material Manager can run as a stand-alone system,
as an integrated system with other D.P.A.I. "IBS" products, or, be
interfaced with non-D.P.A.I. products easily and quickly. See
IBS/Inventory and IBS/Purchasing for more information.
"Available on both TurvoIMAGE and ALLBASE/SQL".
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
IBS/Sales Manager
DPA441500200 VAR
DeCarlo, Paternite & Assoc., Inc. (DPAI)
6133 Rockside Rd. #400
Independence, OH 44131-2220
William R. Daberko (216) 524-2121
Industry:
Mfg/Discrete\Manufacturing MIS
Distribution for Manufacturers\Durable Goods
Mfg/Discrete\Order Management
Product Description:
Integrated Sales Management software designed and developed to
support manufacturers and distributors requiring flexible, sophisticated
processing and reporting tools.
IBS/Sales Manager has been developed specifically for the
Hewlett-Packard architecture and adapts easily to a variety of business
environments through its flexible, user-definable processing logic
control tables. Transaction level security, multi-level on-line help
facility, complete system documentation, comprehensive support and
customization services are provided.
The application software incorporates those features that are
standard in all Sales Management systems, but includes many unique
features and concepts including:
* On-line order entry, pricing, invoice and credit/debit
processing, bookings, sales analysis, forecasting and commissions
reporting.
* "Action Message" processing that eliminates unnecessary paperwork
and brings inter-departmental functions such as credit checking and
special price approval into a realtime mode.
* Unlimited text capabilities.
* "Internal" gossip and note taking ability.
* Supports X.12 "EDI" partnership requirements regarding purchase
orders, purchase order changes, invoices and functional ackowledgements.
* On-line load planning and consolidated shipment manifests.
* User-definable" order processing flows, edits and interfaces.
* Three-way sales commission splits.
* Multiple pricing abilities covering the full range from quantity
pricing through contract pricing.
* Extensive, flexible on-line inquiries and reports into current
and historical data.
D.P.A.I.'s IBS/ Sales Manager can run as a stand-alone system, as
an integrated system with other D.P.A.I. "IBS" products, or be
interfaced with non-D.P.A.I. products easily and quickly. See our other
"IBS" listings for more information.
"Available on both TurboIMAGE and ALLBASE/SQL".
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
ICEM DDN
CDA551660007 ISV
Control Data Corporation
2700 Matheson Bldg. E
West Tower 6th Fl.
Mississauga, Ontario
Canada L4W 4V9
FAX (416) 629-5116
Industry:
Mfg/Discrete\All Discrete Manufacturers
Product Description:
ICEM DDN is a complete set of modules incorporating graphic
functions and processes for computer-aided product development. ICEM
DDN is designed to be used by technical drafters, designers, and
engineers.
ICEM DDN starts with basic design drafting. Additional modules can
be added to reflect an ever changing customer's environment. The
modules include: Design/Drafting; NURBS Geometry; NC Basic; Design
Tools; Color Shading; Lathe; 2D Parametrics; Hybrid Solids; Surface
Milling; Curves and Surfaces; Advanced Surface Milling.
Functionality includes 2D and 3D wireframe, drafting, complex
curves and surfaces, NURBS, B-Rep (ACIS) solids modeling and NC.
ICEM DDN is one of the worlds most flexible CAD/CAM systems
offering a graphics programming language, customizable interfaces,
FORTRAN interface, and access to corporate and industry standard parts
libraries.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
not available
Product Type:
------------------------- Start of Article -----------------------------
Mfg/Discrete
ICEM DDN
CDA551660000 VAR
Control Data Corporation
4201 N. Lexington Ave.
St. Paul, MN 55126
FAX (612) 482-4350
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Aero/Defense/Govt Contract\All Aero/Defense/Govt Mfg
Mfg/Discrete\Computer-Aided Manufacturing
Product Description:
ICEM DN is a complete set of modules incorporating graphic
functions and processes for computer-aided product development. ICEM
DDN is designed to be used by technical drfters, designers, and
engineers.
ICEM DDN starts with basic design drafting. Additional modules can
be added to reflect an ever changing customer's environment. The
modules include:
Design/Drafting; NURBS Geometry; NC Basic; Design Tools; Color
Shading; Lathe; 2D Parametrics; Hybrid Solids; Surface Milling; Curves
and Surfaces; Advanced Surface Milling
Functionality includes 2D and 3D wireframe, drafting, complex
curves and surfaces, NURBS, B-Rep (ACIS) solids modeling and NC.
ICEM DDN is one of the worlds most flexible CAD/CAM systems
offering a graphics programming language, customizable interfaces,
FORTRAN interface, and access to corporate and industry standard parts
libraries.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
ICP/3000 - Inventory Control Processing
DSF821410100 ISV
Dennis and Schwab Inc. (DSI)
17330 Newhope St. #A
Fountain Valley, CA 92708
Dennis Doi (714) 241-4550
Industry:
Mfg/Discrete\Inventory Control
Mfg/Discrete\All Discrete Manufacturers
Mfg/Discrete\Lot/Serial Number Traceability
Product Description:
ICP/3000 supports parts data maintenance, parts issues/receipts,
cycle counts, inventory adjustments, and moves for a multi-warehouse,
multi-location inventory. Lot control and units-of-measure conversions
are standard features. Multiple part types are controlled including
stocked, non-stocked and phantom, as well as defining how parts are to
be processed including levels of lot control, single or multi-location,
inspection required, commodity codes, status, order policies, automatic
back order fill, cummulative lead times. Standard, most recent or
rolling average costing methods supported. Extensive on-line HELP text
is available from every transaction screen. ICP/3000 is written in
COBOL and utilizes both TURBO IMAGE and VPLUS.
Inventory at a location can be declared to be either available,
unavailable, needing inspection or declared to be scrap.
Configuration Data:
not available
Pricing Information:
Value proced by HP model starting ar $3,000
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
IMPACT
SYS980400000 DAR
SYSPRO Inc.
10900 N.E. 8th St. #933
Bellevue, WA 98004
Neal Hughes
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Custom\All Custom Manufacturers
Wholesale Distribution\Accounting
Product Description:
IMPACT is a fully integrated management system for production,
distribution, and accounting control. A true multi-user system
originally written for mini-computers, IMPACT offers impressive power
and flexibility for manufacturers and distributors who want a proven
management package. IMPACT offers true on-line, real-time operation;
easy data import/export to data collection devices (for bar coding),
CAD/CAM Applications, spreadsheets and word processors; complete audit
trails of all transactions; serial inventory tracking and maintenance
scheduling; effective shop floor control through powerful
material/labor/capacity planning and scheduling; actual to budget
variance reporting for job costing; time-saving copy and modify function
in estimating and Bill of Materials; up to 15 levels in Bill of
Materials; kit Issues; "What-If" costing for anticipated material or
labor increases; multiple company/warehouse/location capacity;
consolidated financial statements; remote site dial-up system; contract
pricing; inventory optimization for just-in-time operation and improved
cash flow; great strength in order entry for improved customer service.
IMPACT is parameter driven, its many user-defined features and options
allow a high degree of tailoring without costly and problematic
customization.
Configuration Data:
not available
Pricing Information:
Available upon request. Determined by number of users.
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
ISM-EDI
OBASEGZ00000 ISV
Perwill EDI, Inc.
6133 Rockside Dr. #402
Cleveland, OH 44131-2220
William Bromley (216) 642-7565
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Process\All Process Manufacturers
Marketing, Sales & Service\Order Management
Product Description:
ISM-EDI is an ideal solution for users to implement should they
require a low-cost package for industry standard microcomputers, yet
providing the full functionality required by an EDI user. ISM-EDI
suppports all the major standards and connects to most of the networks
throughout the world. ISM-EDI is made up of three modules: EDIFORM;
EDIPARSE and EDILINK.
EDIFORM is a data reformatting tool. Allowing easy translation
from app-lication output files to EDI data, ready for translation and
packing for transmission as well as taking EDI data and creating files
suitable for application integration. EDIFORM also includes the ability
to carry out data item cross referencing, code look-ups, substitution
and static data entry which may be used for any flat file data remapping
into the required layout ready for translation into the selected
standard.
EDIPARSE will translate to and from all currently supported data
interchange standards EDILINK will transmit and receive messages from
any selected value added network.
Configuration Data:
not available
Pricing Information:
$1,620 - $5,400
System Information:
HP VECTRA MS-DOS
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
Impact Award
PSO115500100 DAR-TS (TOP SELLERS)
Perfect Software Solutions, Inc.
151 Herricks Rd.
Garden City Park, NY 11040
Paul Sita (516) 741-1210
Industry:
Mfg/Discrete\All Discrete Manufacturers
Product Description:
Impact Award is a flexible, comprehensive solution for Discrete,
repetitive and make to order manufacturers. The entire system consists
of 18 modules, which are highly tailorable via user selectable
parameters. Some of the noteworthy features of this system include an
integrated Report Writer, Automatic generation of purchase orders for
needed raw materials, and built in interfaces for integration with data
collection, bar coding and CAD systems. The modules include:
Bill of Materials Fixed Assets
Estimating Purchase Orders
Work in Progress Report Writer
Requirements Planning General Ledger
Factory Documentation Accounts Receivable
Lot Traceability Payroll
Inventory Control Accounts Payable
Sales Order Processing Cash Book
Sales Analysis Remote site update
The system includes extensive on-line help, multi-level inquiries,
pop-up windows and browse features.
Perfect Software supports Impact with a full range of
implementation, consulting and training services.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA SCO-UNIX
Product Type:
------------------------- Start of Article -----------------------------
Mfg/Discrete
Interactive Nesting
OBASEQA00000 Premier VAR
Auto-trol Technology Corp.
12500 N. Washington St.
Headquarters
Denver, CO 80241-2406
FAX (303) 252-2249
Industry:
Mfg/Discrete\Numeric Control
Mfg/Aero/Defense/Govt Contract\Numeric Control
Mfg/Custom\Numeric Control
Product Description:
Interactive Nesting is a solution for nesting 2D parts onto sheet
material. It includes:
* automatic area fill with optimum part packing
* material utilization calculations
* real-time nest editing
* the ability to save and load parts from libraries
When combined with NC Punching, NC Flame Cutting, and
postprocessors, nested data can be output for manufacturing operations
using flame, plasma, laser, water-jet, and punching machine tools.
Prerequisites: Series 7000 Graphis Software
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
Intermec Shop Floor Connect - RF EXPRESS
ECD074660000 ISV
Eagle Consulting & Development Corp.
170 Kinnelon Rd. #3
Kinnelon, NJ 07405
Fax (201) 494-2758
Industry:
Mfg/Discrete\All Discrete Manufacturers
Application Dev./Software Eng.\Programming Tools
Mfg/Support\Automation Management
Product Description:
Universal ADC Server provides manufacturers with shop floor
transactions directly into their HP based applications.
Interactive shop floor workstations benefit business applications
by eliminating labor, intensive/error prone handwritten data collection,
tedious/error prone document transcriptions, and untimely/delayed
postings of information.
Shop floor work stations with integral barcode/magnetic strip slot
reader, cabled barcode wand, and laser guns allow for timely, efficient
and error free data capture. Applications integrated with this on-line
system operate in a proactive error prevention mode rather than in the
costly traditional reactive error detection and correction mode.
One RS232(tty) port controls up to 127 work stations. Client IPC
programming calls adc_connect(), adc_writeread(), adc_disconnect().
Allows for online data real-time communications, barcode data capture,
immediate data validations, and up-to-date databases.
Configuration Data:
not available
Pricing Information:
Priced by platform
System Information:
HP VECTRA SCO-UNIX
HP3000 MPE
HP3000/900 MPE/iX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
Inventory Control System - MAP/3000
IDI943500100 ISV
INTERTEC Diversified Systems, Inc.
150 Grant Ave.
Palo Alto, CA 94306
FAX (415) 326-0579
Industry:
Mfg/Discrete\All Discrete Manufacturers
Product Description:
General Purpose, HP Specific, Integrated Manufacturing with
Inventory Control and Net Change MRP II in COBOL II. MAP/3000 is a
General Purpose Integrated Manufacturing System with Inventory Control
for the HP 3000 marketplace. It has the following modules; Inventory
Control, Physical Inventory, Purchase Order, Engineering, Work Order,
MRP.
And the following features:
Human Engineering Screens - Comprehensive User Documentation;
Multi-Level Security; Cost Accounting; Complete Audit Trails; Data &
Timecard Entry; Full Database Logging; Menu Driven; COBOL II Programming
Language; Batch Program Initiator; Single Database Design; Net Change
MRP II; On-line/Real Time; IMAGE Database; Availability in Source Code;
Completely Interactive; Worldwide Support; Integrated Financial, Shop
Floor, Sales- Order Entry Modules are also available, see our listing
under MAP/3000.
Toll Free Numbers: U.S. (800) 872-7897 or CA (800) 872-7979.
Configuration Data:
not available
Pricing Information:
%500 to $2500 per module
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
Inventory Management (I/M)
MCB910500100 ISV
MCBA, Inc.
330 N. Brand #700
Glendale, CA 91203
FAX (818) 500-4805
Industry:
Mfg/Discrete\Inventory Control
Wholesale Distribution\Durable Goods
Mfg/Discrete\Financial Accounting Systems
Product Description:
MCBA's Inventory Management (I/M) package is a comprehensive tool
which improves customer service in multiple company and multiple
inventory location environment. It offers increased control of both
manufacturing and distribution inventories. I/M has the following
capabilities:
* Allows any number of inventory locations.
* Creates audit trails with the exact time and operator for the
major files, to provide a record of additions, changes, and deletions.
* Prints the ABC Analysis Report, with optional update of inventory
classifications.
* Forecasts the expected need in the next period, based on each
item's usage history.
* Prints the Usage Exceptions Report, comparing actual to forecast
usage.
* Allows warehouse transfers as well as issues and receipts
* Provides physical count tag support and cycle counting
worksheets.
* Allows quick inquiry through the terminal regarding the
availability of any item.
Configuration Data:
not available
Pricing Information:
$6,000.00 - $9,000.00
System Information:
HP3000/900 MPE/iX
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
Inventory Management (MCBA)
CIN787500200 DAR
Commercial Information Systems, Inc.
3918 Caney Creek Rd.
Austin, TX 78732-2214
Mark Butterfield (512) 266-9066
Industry:
Mfg/Discrete\Inventory Control
Wholesale Distribution\Durable Goods
Product Description:
* Performs ABC analysis with optional update of inventory
classifications.
* Provides physical inventory count processing.
* Supports-cycle counting.
* Forecasts usage.
* Prints the Usage Exception Report, comparing actual to forecast.
* Allows any number of inventory warehouse locations.
* Allows inter-warehouse transfers, as well as issues and receipts.
* Allows stock status inquiry through the terminal screen and
prints the Inventory Stock Status Report.
* Prints the Reordering Advice Report in three formats with many
user-defined parameters.
* Provides the LIFO Price Index Calculation Report to assist with
inventory valuation.
* Creates an audit trail.
* Interfaces with MCBA's Accounts Payable (AP), Customer Order
Processing (COP), and Purchase Order and Receiving (PO) packages.
Configuration Data:
not available
Pricing Information:
Available upon request.
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
JCP/3000 - Job Cost Processing
DSF821410100 ISV
Dennis and Schwab Inc. (DSI)
17330 Newhope St. #A
Fountain Valley, CA 92708
Dennis Doi (714) 241-4550
Industry:
Mfg/Discrete\Labor Reporting
Mfg/Custom\Job Cost Control
Mfg/Discrete\All Discrete Manufacturers
Product Description:
JCP/3000 module collects actual cost in the form of material, labor
and overhead costs against job orders, including time and attendance
data. It is an on-line job costing package that also produces labor
cost tracking and work-in-process valuation, period manufacturing cost,
job cost variance reports and job cost history records. Direct or
indirect job orders can be created at any time by the interactive Job
Cost Processing Module.
JCP/3000 is written in COBOL and utilizes TURBO IMAGE and VPLUS.
Configuration Data:
not available
Pricing Information:
Value priced by HP model starting at $3,500
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
Job Costing (J/C)
MCB910500100 ISV
MCBA, Inc.
330 N. Brand #700
Glendale, CA 91203
FAX (818) 500-4805
Industry:
Mfg/Discrete\Cost Accounting
Product Description:
J/C helps companies track and analyze actual manufacturing costs
compared to planned or estimated costs. MCBA's Job Costing package
includes the following:
* Estimates future job cost in full detail.
* Allows each job optionally track either actual costs or standard
costs.
* Supports multiple shop orders in each job.
* Calculates variable and fixed burden (overhead) using up to four
additive methods.
* Displays up-to-date job status information on workstation screen.
* Creates general ledger entries for direct labor, material,
outside processing, variable and fixed burden, work-in-process (WIP) and
finished goods transactions.
* Identifies areas of excessive variance from estimates, for
effective cost control.
* Prints material and labor cost analysis reports by job and by
department/work center.
* Interfaces with MCBA's Accounts Payable (A/P), General Ledger
(G/L), Inventory Management (I/M), Labor Performance (L/P), Payroll
(PR), Shop Floor Control (SFC) and Standard Product Routing (SPR)
packages.
Configuration Data:
not available
Pricing Information:
$5,000.00 to $7,500.00
System Information:
HP3000 MPE
HP3000/900 MPE/iX
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
MAN-FACT II
MCO926660000 Premier VAR
Madic-Compufact Corporation
7441 Lincoln Wy. #200
Garden Grove, CA 92641
FAX (714) 897-7616
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Custom\All Custom Manufacturers
Product Description:
MAN-FACT II is a full-function MRP II system. System generated
forecasts feed the Rough Cut, MPRS, MRP, and CRP functions. A detailed
Shop Floor Control system is analyzed via Input/Output reporting.
Additonal functions include:
Configuration Management - Cost Accounting (Standard, Average,
Actual, LIFO, and FIFO) - FDA/DOD Lot Trace - Currency Conversion -
RFQ-Quote-Blanket Purchase Orders - Complete Financial Systems
(AP/AR/FA/GL/CA/PR/ - Project Control - Multi-Location.
The user interface to the application set is through either a
Graphical User Interface (Windows or Macintosh) or character-based
terminal.
Our hardware/software offering is augmented by consulting,
education, and custom programming.
Madic-Compufact Corporation has been providing business solutions
to manufacturers for over 20 years. As a member of the Devers Group, we
draw on the resources of over 700 computer professionals to service our
clients.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
MANAGE 2000
ROI554660000 VAR
ROI Systems, Inc.
435 Ford Rd. #700
Minneapolis, MN 55426-4913
FAX (612) 595-9450
Industry:
Mfg/Discrete\MRPII Systems
Mfg/Custom\Material Req. By Project/Job
Mfg/Discrete\All Discrete Manufacturers
Product Description:
MANAGE 2000 is a proven, completely integrated manufacturing,
distribution, and financial control system. It is a state-of-the-art
decision support tool which applies ROI's proven computing technology
and service philosophy to every day manufacturing management problems.
The system is designed to support discrete manufacturers
(34xx-39xx). The system supports job shop, make-to-order, and
repetitive environments. Client companies range in size from 5 million
to 3 billion dollars in annual sales (plant size is normally 5 to 100
million dollars in sales).
The twenty seven modules may be used individually or in
combination. The modules include: AP, AR, Bill of Material, CRP,
Configure To Order, EDI, Mail. Forecasting, GL, Information Extraction
Processing, Inventory, Lot Tracing, Quality Control, MRP, Multi
Facility, Multi Loc, Payroll, Purchasing, Repetitive, Sales Order
Processing, Sales Quote Processing, Serial Number Tracking, Shop Floor
Control, Shop Floor Data Collection, Summarized Sales Analysis, Work
Centers and Work Order Costing.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
FRD Referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
MANFACT II
MCO926660001 DAR
Nova Information Systems
12277 - 134th Ct. N.E.
#203
Redmond, WA 98052
Fax (206) 821-2087
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Aero/Defense/Govt Contract\All Aero/Defense/Govt Mfg
Mfg/Custom\All Custom Manufacturers
Product Description:
MANFACT II is an interactive, full featured, integrated,
user-customizable MRPII solution providing the information needed to
manage an entire manufacturing business environment in a relational data
base environment. The system supports discrete and batch process
operations. The twenty modules can be used in combinations and can be
tailored to best reflect the customer's manufacturing environment
without extensive programming. The modules provided include:
Bill of Material/Routings - Marketing - Working-Process/Shop Floor
Control - Configuration Management - Master Production Scheduling -
Accounts Payable - Capacity Requirements - Material Requirements
Planning - Accounts Receivable - Distribution/Replenishment - Physical
Inventory/Cycle Counting - Cost Accounting - Inventory Control -
Purchasing/Receiving - Fixed Assets - Inventory Control - Sales Order
Entry/Shipping - General Ledger - Field Service - Payroll/Personnel.
Easy-to-use features include: on-line transaction menus with the
ability to skip directly to screens; on-line database review and update;
on-line terminal data entry, field editing and error correction;
security control of screen and data item levels; batch interface;
through on-line documentation.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
MANMAN
ASK940500100 Premier VAR
ASK Computer Systems Inc.
2440 W. El Camino Real
PO Box 7640
Mountain View, CA 94039-7640
FAX 409 4567
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Custom\All Custom Manufacturers
Mfg / Ind Specific Solutions\Electric/Electronic Equip. Mfg
Product Description:
The MANMAN System is made of 19 products which form an integrated
system for domestic and international manufacturers to control
engineering, production, marketing and finance operations.
The core system includes: MANMAN/MFG which integrates engineering,
inventory, production, scheduling and cost accounting functions,
MANMAN/OMAR for managing customer orders, MANMAN/GL and MANMAN/AP.
Other module highlights include: MANMAN/REPETITIVE for planning and
control of high volume, low inventory and short lead time manufacturing.
MANMAN/TRACKER for tracking the source and usage of components and
products by lot.
MANMAN/ENGINEER which facilitates the interaction between
engineering and manufacturing departments.
MANMAN/SERVICEMAN for service call handling/reporting and customer
information/contract maintenance. The remaining modules cover such
functionality as barcoding, project management, quality
tracking/analysis, fixed asset maintenance, payroll, human resource
management, and decision support. ASK also offers world-wide service
and support.
"Available on TurboIMAGE".
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
MANMAN/X
ASK940500100 Premier VAR
ASK Computer Systems Inc.
2440 W. El Camino Real
PO Box 7640
Mountain View, CA 94039-7640
FAX 409 4567
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Custom\All Custom Manufacturers
Mfg / Ind Specific Solutions\Electric/Electronic Equip. Mfg
Product Description:
The MANMAN/X System is made up of 27 modules which form an
integrated open systems solution for domestic and international
manufacturers to control engineering, production, service, marketing and
finance operations.
The system is composed of 9 primary functional areas:
Planning - Forecasting/MRP/MPS/CRP
Shop Floor - Production Control/Hours Accounting/Tracking
Inventory - Location Control/Lot Control
Projects - Project Control, Estimating, Planning/Produce
Configurator
Purchasing - Purchase Control, Quotations, Contracts
Sales - Sales Control, Quotes, Contracts, Electronic Order
Processing
Service - Service Orders, Warranty, Service Contracts
Finance - AP/AR/GL, Cost Center, Financial Statements
Engineering - Drawing Control, CAD Interface, EBOM\para
MANMAN/X runs on the leading Relational Databases: Ingres, Oracle,
Sybase and Informix. Multiple language support including: English,
German, French, Spanish and Double-Byte. ASK also offers full
world-wide service and support.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA SCO-UNIX
HP3000/900 MPE/iX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
MAP/3000 MRP II Manufacturing Mgmt. Sys.
IDI943500100 ISV
INTERTEC Diversified Systems, Inc.
150 Grant Ave.
Palo Alto, CA 94306
FAX (415) 326-0579
Industry:
Mfg/Discrete\All Discrete Manufacturers
Wholesale Distribution\Durable Goods
Mfg/Discrete\MRPII Systems
Product Description:
Integrated manufacturing, order entry/inventory management and
accounting system with closed loop MRP II. MAP/3000 is an integrated
solution providing material management, production planning and control
and financial accounting for discrete manufacturers. It uses a single
data base design with multi-level security to the field level. User
friendly formatted screens provide the ability to do on-line executive
inquiries. Data base information can be easily downloaded to a PC.
User designed reports are easily generated with the use of our report
writer, MAP/3000 VI. The entire system is available COBOL II Source
code or value priced in object code only. Your choice of "Net Change"
or "Re-generative" processes for MRPII. This is an on-line/real-time
system with complete General Ledger interaction. Support is offered
world wide. INTERTEC also offers program customization services, data
entry and data conversion services. The application areas included in
MAP/3000 are as follows:
Master Production Scheduling: Forecasting - Capacity Planning -
Shop floor control - Material Requirements Planning - Engineering
Control - Work Order Control - Labor Distribution - Inventory Control -
Physical Inventory - Purchase Order - Order Entry - Sales Analysis -
Cost Accounting - Accounts Receivable - Accounts Payable - General
Ledger - Multi-Currency - Lot Control Tracking - Sales Commission
Tracking - Back Flush - GLASS/3000 Financial Report Writer - and
MAP/3000 VI End User Report Writer.
Additional Comment: Toll Free Numbers: US - 800-872-7997 or CA -
800-872-7979
Configuration Data:
not available
Pricing Information:
$12,500 in object code $27,500 in source code (Modular)
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
MAP3000
INT943660000 ISV
Intersoft Systems
415 Cambridge Ave. #5
PaLo Alto, CA 94306
FAX (415) 473-9746
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Custom\All Custom Manufacturers
Mfg/Process\All Process Manufacturers
Product Description:
Integrated RealTime manufacturing, order entry, inventory, control
and accounting with closed loop MRP II. MAP3000 uses a single database
design with multi-level security to the field level. Integrated
indexing package and GUI provider for quick look up and drag-back of
data. User freindly screens, fully documented for each field and
transaction type. Interface for Bar Code, Lasaer printed forms and EDI.
Applications included are:
Order Entry - Commissions - Master Production Schedule - Bills of
Material - Demo Order - Shop Floor Control - Work Orders - DRMA -
Capacity Planning - Purchase Orders - Cost Accounting - Multi-Currency -
Inventory Control - MRP - BackFlush - Physical Inventory - Lot Control -
General Ledger - Accounts Payable - Accounts Receivable - Financial
Report Writer.
Configuration Data:
not available
Pricing Information:
Complete System $12,500 object code to $27,500 Source Code -
Individual mulules sold - price upon request
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
------------------------- Start of Article -----------------------------
Mfg/Discrete
MDSS/Manufacturing
MDS441500100 VAR
MDSS, Inc.
1717 E. 9th St.
300-East Ohio Bldg.
Cleveland, OH 44114
Don Poyer (216) 861-8100
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Discrete\MRPII Systems
Product Description:
MDSS is a fully integrated, interactive manufacturing system
supporting traditional MRP II and emerging concepts of JIT and
Manufacturing Execution Systems. The applications include the following
integrated modules:
* Material Control
* Shop Floor Control and Job Cost
* Sales and Marketing Management
* Accounts Payable, General Ledger and Budgets
* Estimating and Quoting
* Lot Control
* Preventive Maintenance
* Release Accounting
* Multiplant Facility
* Manufacturing Execution System
Several features make it an easy-to-use solution: Online
transaction menus with the ability to skip directly to screens; online
database review and update; online terminal data entry, field editing
and error correction; and security control at screen and data item
levels.
MDSS can be implemented in phases to meet business needs. MDSS
supports decisions of marketing and sales, manufacturing operations,
financial and top management. Services include applications support and
upgrades, implementation assistance, user training, and custom
programming.
Configuration Data:
not available
Pricing Information:
Available upon request.
System Information:
HP3000/900 MPE/iX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
MFG/PRO
QAD930400000 Premier VAR
qad. inc
6450 Via Real
Carpinteria, CA 93013
FAX (805) 684-1890
Industry:
Mfg/Discrete
Accounting\Accounts Payable
Distribution for Manufacturers
Product Description:
MFG/PRO is a commercial Manufacturing, Financial, and Distribution
Management System written in a fourth generation language (PROGRESS).
MFG/PRO is also available under DOS, XENIX, UNIX, Networks, and VMS.
MFG/PRO is available on a module by module basis and is a fully
integrated package. It is appropriate for: discrete manufacturers,
repetitive job shops, make-to-stock and assemble-to-order manufacturers
and distributors. International versions and international distribution
now available.
MFG/PRO modules are: Parts/Products; Forecasting; Bills of
Material; Resource Planning; Work Orders; Capacity Requirements;
Inventory Control; Accounts Receivable; Shop Floor Control; Accounts
Payable; Product Line Planning; General Ledger; Payroll; Sales Analysis;
Physical Inventory; Features and Options; International Taxes;
Multi-Currency; Repetitive; Sales Quotations.
MFG/PRO is written in PROGRESS, a 4GL and relational data base by
PROGRESS. Features of PROGRESS include: Automatic data base recovery
from hardware failure; Compact data storage due to variable field
lengths; Query PROGRESS language to create custom inquiries/reports;
FULL PROGRESS to create new applications/screens.
Configuration Data:
PROGRESS
Pricing Information:
Contact Vendor
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
MFG/PRO - Distribution
QAD930400000 Premier VAR
qad. inc
6450 Via Real
Carpinteria, CA 93013
FAX (805) 684-1890
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg / Ind Specific Solutions\Electric/Electronic Equip. Mfg
Mfg / Ind Specific Solutions\Fabricated Metal Products Mfg
Product Description:
MFG/PRO Distribution is a complete distribution management system
incuding sales order processing and financial applications. MFG/PRO
Distribution is available on a module by module basis and is a fully
integrated package. MFG/PRO Distribution is available on the HP 9000
computer under HP-UX. It is also available on the HP Vectra with XENIX,
DOS, or networks. MFG/PRO Distribution is written in PROGRESS, a fourth
generation language and relational data base by PROGRESS Software
Corporation. PROGRESS allows for easy customization of MFG/PRO
Distribution. MFG/PRO Distribution is sold and supported by direct
sales offices in the U.S. and Canada. Foreign language versions also
available.
* Sales Analysis and Sales Order interface
* Multiple shipments per sales order
* Single transaction to create invoice and receive inventory
* Sales itemized by part, product line, customer, ship-to, and
salesperson.
* Monthly and year-to-data totals maintained for quantity sold,
dollars, and cost of sales
* Sales analysis interfaces with AR
Additional Support Comment: Training, installation
Configuration Data:
HP Software Required: HP-UX, MS-DOS
Pricing Information:
$30,000-$84,000 (entire set of modules)
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
MFG/PRO - Financials
QAD930400000 Premier VAR
qad. inc
6450 Via Real
Carpinteria, CA 93013
FAX (805) 684-1890
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg / Ind Specific Solutions\Electric/Electronic Equip. Mfg
Mfg / Ind Specific Solutions\Fabricated Metal Products Mfg
Product Description:
MFG/PRO - Financials is a complete financial management system,
including AR, AP, GL, PR, appropriate for distribution and
manufacturing. MFG/PRO Financials is available on a module by module
basis and is a fully integrated package. MFG/PRO Financials is
available on the HP 9000 computer under HP-UX. It is also available on
the HP Vectra with XENIX, DOS, or networks. MFG/PRO Financials is
written in PROGRESS, a fourth generation language and relational data
base by PROGRESS Software Corporation. PROGRESS allows for easy
customization of MFG/PRO Financials. MFG/PRO Financials is sold and
supported by direct sales offices in the U.S. and Canada. Foreign
language versions also available.
* GL integrated with all other MFG/PRO modules
* Prior period and future period transactions
* Unlimited detailed transaction history
* PO interface using receivers
* Separate voucher number for each invoice or debit memo
Additional Support Comment: Training, installation
Configuration Data:
HP Software Required - HP-UX
Pricing Information:
$30,000-$84,000 (entire set of modules)
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
MFG/PRO - Manufacturing
QAD930400000 Premier VAR
qad. inc
6450 Via Real
Carpinteria, CA 93013
FAX (805) 684-1890
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg / Ind Specific Solutions\Electric/Electronic Equip. Mfg
Mfg / Ind Specific Solutions\Fabricated Metal Products Mfg
Product Description:
MFG/PRO Manufacturing is a complete manufacturing management system
including sales order processing and financial applications. MFG/PRO
Manufacturing is available on a module basis and is a fully integrated
package. It is appropriate for discrete manufacturers and repetitive
job shops, make-to-stock and assemble-to-order manufacturers, and
distributors. MFG/PRO Manufacturing is available on the HP 9000
computer under HP-UX. It is also available on the HP Vectra with XENIX,
DOS, or networks. MFG/PRO Manufacturing is written in PROGRESS, a
fourth generation language and relational data base by PROGRESS Software
Corporation. PROGRESS allows for easy customization of MFG/PRO
Manufacturing. MFG/PRO Manufacturing is sold and supported by direct
sales offices in the U.S. and Canada. Foreign language versions also
available.
Lot / serial number tracking
Final assembly scheduling for assemble to order manufacturers
Bucketless MRP
JIT
Net change MRP
Plastics
Pharmaceuticals
Electronics
Additional Support Comment: Training, installation.
Configuration Data:
HP Software Required: HP-UX, MS DOS
Pricing Information:
$30,000-$84,000 (entire set of modules)
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
MIDAS/PRODUCT TRACKING
MKS194660000 DAR
MKS, Inc.
521 Plymouth Rd. #113
Plymouth Meeting, PA 19462
James E. Smith (215) 941-6970
Industry:
Mfg/Discrete\Lot/Serial Number Traceability
Product Description:
MIDAS/PRODUCT TRACKING is a full featured, completely integrated
product tracking distribution and accounting system. MIDAS incorporates
multi-window, on-line guidance with minimal data entry. Advanced
software techniques have been used to implement an ultra-reliable
package which is easily adaptable to most business requirements. Open
architecture permits integration with other existing networked systems.
MIDAS/PRODUCT TRACKING key features:
* Warranty tracking
* Return authorization
* Repair orders
* Consignment inventroy
* Lot control
* Serial number tracking
MKS, Inc. offers many other related services and products. Please
call for more information.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
MOOPI
BERGIV660000 Premier ISV
The Berclain Group Inc.
3175 ch. des Quatre-Bourgeois
Bureau 100
Sainte-Foy, Quebec
Canada G1W 2K7
FAX (418) 654-0645
Industry:
Mfg/Discrete\Capacity Requirements Planning
Mfg/Process\Scheduling
Mfg/Support\Work Scheduling
Product Description:
MOOPI is a synchronized manufacturing and scheduling software. It
assigns precise schedules to every resource in your factory (people,
machines, tools and raw material) to achieve the production objectives
and takes into account the exact capacity and availability of each
resource, as well as the inter-dependencies between production
operations. MOOPI combines the technologies of real-time simulation and
rule-based (heuristics) systems. This scheduler is combined with a
flexible process database that knows all the constraints of the factory.
As it simulates, the system dynamically evaluates the impact of its
decisions on critical factors such as on-time production, resource
utilization, work-in-process, etc. and finds the sequences that best
suits the production objectives. That mix is controlled by a set of
prioritized parameters set by the user to reflect his specific
environment. The system supports discrete and batch process operations.
The 8 modules can be used in combination and can be tailored to best
reflect the customer's manufacturing environment. The modules provided
include:
* Factory control and scheduling (base module)
* Tooling
"Graphics reporting
* Raw material levels
* Report generator
* Interfacing
* Finished products levels
Configuration Data:
not available
Pricing Information:
Pricing varies by modules and type of equipment
Price range is from 60K to 420K
System Information:
HP VECTRA SCO-UNIX
HP3000/900 MPE/iX
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
FRD Referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
MRP W/EDI
BGR483660000 ISV
Birmingham Computer Group, Inc.
1471 S. Woodward #275
Bloomfield Hills, MI 48302
FAX (313) 333-0027
Industry:
Mfg/Discrete\Manufacturing MIS
Mfg/Aero/Defense/Govt Contract\Manufacturing MIS
Mfg/Custom\Management Reporting
Product Description:
MRP W/EDI is designed for easy installation and customization.
Equally effective in discrete, job shop, or process control
manufacturing applications as well as warehousing applications.
Fully integrated features includes:
Order Entry, Inventory Control, Bill of Material Processor, Process
(shop floor) Control, Customer EDI, Target Reporting, Cost Variance
Analysis, Job Shop Control, Production Scheduling, Material Requirements
Planning, Purchasing, Receiving, Shipping, Invoicing, Vendor EDI,
On-line Help
Customized modules may be added, including quoting, capacity
planning and data collection.
The system may be coordinated with financial packages and office
automation products.
The product is fully integrated to Birmingham Computer Group's EDI
product called Doc-u-map.
Configuration Data:
not available
Pricing Information:
Licenses start at $14,000
Projects size determines price
System Information:
HP VECTRA MS-DOS
HP3000/900 MPE/iX
Product Type:
------------------------- Start of Article -----------------------------
Mfg/Discrete
MTMS - The Modular Total Mfg. System
OAP081660000 DAR
Online Applications Inc.
216 Haddon Ave. #607
Sentry Office Plaza
Westmont, NJ 08108
Ernest Pennente (609) 869-0770
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Discrete\Distribution Management
Mfg/Discrete\MRPII Systems
Product Description:
(MTMS) - Modular Total Manufacturing System is an integrated
production planning, costing and accounting system that is designed
around a unique development environment. MTMS is designed for a wide
range of environments, including made to order, finish to order, and
contract project environments. MTMS clearly addresses the traditional
areas of product definition, material control, shop floor control, cost
management, and financial control. MTMS also provides a sophisticated
order entry and distribution system oriented towards environments with
the need to manage large numbers of orders. The development and support
environment includes 4GL tools, allowing screens and reports to be
easily tailored to customer requirements.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
Mallorn Information Systems
MIIK2H660000 DAR
Mallorn Information Systems Innovators
418-301 Moodie Dr.
Nepean, Ontario
Canada K2H 9C4
Fax (613) 596-4125
Industry:
Mfg/Discrete\Manufacturing MIS
Product Description:
Serve many customers as an external MIS shop on a contract basis.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mfg/Discrete
Man-Trak MRP II
PMA554660000 DAR
Progressive Management Services, Inc.
2828 Anthony Ln. So.
Minneapolis, MN 55418
Jerry Miller (612) 781-6521
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Custom\All Custom Manufacturers
Mfg/Process\All Process Manufacturers
Product Description:
Manufacturing
Man-Trak includes a full MRP II implementation that uses
regenerative, net change material requirements planning, and JIT
scheduling. It concurrently supports the following types of
manufacturing; make to order, configure to order, assemble to order,
make to stock, and repetitive. The manufacturing modules are: Bills of
Material, Manufacturing and Material Control (MPS/MRP), Shop Floor
Control, Repetitive Production Control.
Distribution
The Man-Trak advanced distribution management system includes
warehouse mapping with put-away and directed picks, multiple warehouses
and stockrooms, matrix pricing, proforma invoicing, requests for quotes,
configured sales orders, sales analysis, and inventory status reporting.
Management control is facilitated through lot and serial tracking and
fully allocated inventory. The distribution modules are: Sales Order,
Inventory Control, Purchase Order.
Accounting
Man-Trak provides a powerful, full featured general accounting
solution that includes multi-company, divisional and departmental
reporting, multiple tax districting, and general ledger structure with
budgeting capabilities. The accounting modules are: General Ledger,
Accounts Receivable,
Accounts Payable, Payroll, Project Accounting.
Configuration Data:
not available
Pricing Information:
$5,000 to $20,000 per module
System Information:
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mfg/Discrete
Manufacturing
MIIK2H660000 DAR
Mallorn Information Systems Innovators
418-301 Moodie Dr.
Nepean, Ontario
Canada K2H 9C4
Fax (613) 596-4125
Industry:
Mfg/Discrete
Product Description:
MISI Manufacturing/Fast MRP II is comprised of comprehensive and
integrated manufacturing, distribution, accounting, operations and
planning modules integrated with records management, office systems and
data collection features to meet the various needs of manufacturing
environments. The system can be set up to address traditional and
just-in-time, world class operations. Planning systems consisting of
fast MRP, DRP and MPS modules can be fully integrated with an
organization's operational systems.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mfg/Discrete
Manufacturing software Associates, Inc.
MAN750660000 DAR
Manufacturing Software Associates
200 Chisholm Pl. #110
Plano, TX 75075
FAX (214) 422-0987
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Custom\All Custom Manufacturers
Mfg/Process\All Process Manufacturers
Product Description:
Manufacturing Software Associates, Incorporated provides Systems
Integration services, products, and support for the manufacturing
industry. Using Solution IV as the accounting and distribution
software, Manufacturing Software Associates has developed a suite of
manufacturing software using IDOL- IV. Both Solution IV and IDOL-IV are
products of Thoroughbred Software International.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mfg/Discrete
Master Monitor Program (MMP)
DSF821410100 ISV
Dennis and Schwab Inc. (DSI)
17330 Newhope St. #A
Fountain Valley, CA 92708
Dennis Doi (714) 241-4550
Industry:
Mfg/Discrete\MRPII Systems
Mfg/Process\All Process Manufacturers
Mfg/Discrete\All Discrete Manufacturers
Product Description:
A Master Monitor Program package.
The Master Monitor Program is a system configuration and security
control module which monitors and controls the processes and terminals
while running the DSI QED application modules. It features menu-driven
application control; direct access to screens through function key
selections; direct access to programs using the screen names; and auto
selection of remote printer with spooling and selective transaction
logging. Security is at a transaction level.
Configuration Data:
not available
Pricing Information:
Value price by HP model starting at #3,500
System Information:
HP3000 MPE
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
Master Scheduling (M/S)
MCB910500100 ISV
MCBA, Inc.
330 N. Brand #700
Glendale, CA 91203
FAX (818) 500-4805
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Discrete\Master Scheduling
Product Description:
Helps manufacturer create production plans. Eases forecasting
through the use of planning bills of material.
Allows interactive entry of requirement and replenishment orders
(if the related package is installed) into the master schedule for easy
comparison with customer orders and sales forecast orders.
Maintains orders in a "bucketless" format by exact date, allowing
reports to be detailed or summarized into a variety of user-defined
periods.
Supports "what if" analysis by projecting into the future with
adjustments to quantities.
Displays or prints how much of each inventory item is available to
promise for delivery in current and future periods.
Identifies under-or over-scheduled key work centers through rough
cut capacity planning.
Interfaces with MCBA's Bill of Material Processor (BOMP), Customer
Order Processing (COP), Inventory Management (I/M), Material
Requirements Planning (MRP), Purchase Order and Receiving (P/O),
Standard Product Routing (SPR), and Shop Floor Control (SFC) packages.
Configuration Data:
not available
Pricing Information:
$6,000 - $9,000
System Information:
HP3000 MPE
HP3000/900 MPE/iX
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
Material Requirements Planning (MRP)
MCB910500100 ISV
MCBA, Inc.
330 N. Brand #700
Glendale, CA 91203
FAX (818) 500-4805
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Discrete\MRPII Systems
Product Description:
Helps manufacturer plan when and in what quantities components are
needed.
MCBA's Material Requirements Planning (MRP) package can be used to
support a "just in time" production plan. It provides the following
features:
* Computes when and in what quantities component parts and raw
materials are required.
* Supports minimum, maximum, and multiple order quantity
modifications.
* Allows creation and modification of a shop calendar and a variety
of reporting calendars.
* Is a "bucketless" system, so results can be analyzed in a variety
of time periods.
* Calculates net inventory available from selected warehouse
locations.
* Reports exceptions and recommended changes to order quantities
and dates.
* Pegs orders through the product structure to show what customer
orders and finished goods production would be affected by changes in
earlier stages of the manufacturing process.
* Supports changes in product structures via parent/component
effectivity dates.
* Uses actual components planned for shop orders as a firm planned
bill of material to accurately reflect true material needs.
Configuration Data:
not available
Pricing Information:
$8,000 - $12,000
System Information:
HP3000 MPE
HP3000/900 MPE/iX
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
Microshop-Job Shop Management System
ICO441660000 DAR
Intec Consulting, Inc.
2 Summit Park Dr. #235
Independence, OH 44131
William A Bartkus (216) 642-6950
Industry:
Mfg/Discrete\All Discrete Manufacturers
Product Description:
Microshop-Job Shop Management System is a complete accounting and
shop floor control system designed to assist shop floor managers in
making day-to-day decisions concerning materials, labor and capacity
requirements. Microshop places heavy emphasis in the areas of
production control, work-center scheduling, cost control, job status and
work-in-process. Microshop was designed specifically for those shops
which produce to the customers' specifications; produce fabricated or
assembled parts; produce goods which require sub-assemblies or uniquely
defined components; and production which requires material to be
purchased to order, pulled from stock or a combination of both.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA BASIC
HP VECTRA SCO-UNIX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mfg/Discrete
Minxware Information System
MIN940500100 VAR
Minx Software, Inc.
1762 Technology Dr. #224
San Jose, CA 95110
FAX (408)453-6019
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Discrete\Inventory Control
Mfg/Discrete\Lot/Serial Number Traceability
Product Description:
Minxware Information System provides general accounting and
manufacturing application software for discrete manufacturers primarily
in electronics, software, and other high technology companies. Minxware
Information System Components:
Order Management; Inventory Control; Accounts Receivable; Bill of
Material; Accounts Payable; ECO Control; General Ledger; Purchasing;
Standard Cost Accounting; Shop Floor Control; Master Production
Scheduling; Spread Sheet; Report Writer; Mat'l Requirements Planning;
Field Service, Project Accounting, International Currency support, JIT
support and customer service.
Minxware features:
Integrated financial, order management, customer service and
manufacturing modules; Embedded generic search; On-line help at all
prompts; User definable procedures; Real time update of all files;
Password protection; Complete audit trails; Management reporting.
All modules are fully integrated and on-line. In addition to the
core manufacturing modules, the complete integration of the order
management and customer service modules maximizes every opportunity to
address customer needs, especially in the area of configurable products.
Complete serial number tracking provides cradle to grave tracking of
products and sub-assemblies. The Engineering Change Order Module
coordinates and documents product changes.
Configuration Data:
not available
Pricing Information:
Pricing ranges from $36,000 to $400,000 depending on the number of
active users
System Information:
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
Multi-Level Master Scheduling
CCH466500100 ISV
Crowe, Chizek and Company
2100 Market Tower
10 West Market St.
Indianapolis, IN 46204
Mark Hemauer (317) 632-8989
Industry:
Mfg/Discrete\MRPII Systems
Mfg/Process\All Process Manufacturers
Mfg/Support\General Manufacturing Mgmt
Product Description:
The MPS2LEVEL module from Crowe Chizek will calculate the dependent
demand for MPS items regardless of the depth of the parent structure.
The demand flows down the structure for MPS items using the quantity per
on the part structure. Depeendent demand for an MPS part can be
calculated based on forecasted demand or actual manufacturing orders for
the parent part. Once demand has been maintained, the MPS2SEL screen is
used to select the criteria for the demand explosion. The user has the
ability to recalculate the dependent demand for a single part or for all
parts. Also, the user indicates the number of periods to consider for a
horizon and whether to discontinue the calculation if an MPS part is a
component of an MRP part. Upon calculation of demand, the MPS2LEVEL
module places the resulting dependent demand in the SYSTEM FORECAST
field within standard MPS. This allows the user to additionally modify
the calculated dependent demand after a calculation. Independent demand
can be entered in the EXTRA FORECAST field and the standard MPS will
total the dependent and independent demand in its calculation of total
demand.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
Myte Myke Inventory Control
MDX141660000 DAR
M & D Systems, Inc.
3885 N. Buffalo Rd.
Orchard Park, NY 14127
Bill Carpenter (716) 662-6611
Industry:
Mfg/Discrete\Inventory Control
Mfg/Process\All Process Manufacturers
Mfg/Process\Inventory Control
Product Description:
Myte Myke Inventory Control module is a powerful stand alone
system, or may be integrated with other Myte Myke accounting,
distribution and manufacturing modules. Features include lot and serial
number control, multiple warehouse tracking (up to 99), multiple bin
locations, expiration dates, on line updates of ON HAND, ON ORDER,
PEGGED and AVAILABLE inventory quantities. Item File stores over 85
data elements including a 16 character item number, alternate keys,
multiple costs and prices, lead time, preferred vendors, bin location,
product class, G/L sales distribution account and user-defined
information fields. Standard reports include Stock Status, Min/Max,
Inventory Value, Inventory Audit and extemely flexible ABC Analysis. It
displays inventory status on screen, as well as all sales, purchase and
production orders for a particular item. The Myte Myke system of
full-featured, business application software is derived from a mainframe
environment. It is designed for small to medium size companies, and
departments or divisions of major corporations. Both single and
multi-user versions are available, along with a superior support program
that includes systems enhancements.
Configuration Data:
not available
Pricing Information:
Depends on number of users - $1500 and up
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
Myte Myke Manufacturing (MRP II)
MDX141660000 DAR
M & D Systems, Inc.
3885 N. Buffalo Rd.
Orchard Park, NY 14127
Bill Carpenter (716) 662-6611
Industry:
Mfg/Discrete\MRPII Systems
Mfg/Custom\Job Cost Control
Mfg/Process\Lot Control
Product Description:
Myte Myke is a closed loop system for Manufacturing Resource
Planning (MRPII). All aspects of production scheduling, MRP,
work-in-process tracking, inventory control, shop floor control and
standard costing are considered. Accounting and distribution modules
handle receivables, payables, financials, sales and purchasing for full
control of all business functions. Production costs may be
electronically transferred to General Ledger for financial reporting and
variance analysis. Refer to descriptions of individual modules for more
details. The goal is to have all of your resources...engineering,
sales, purchasing and production...working together for efficiency and
profitability. With Myte Myke as your one software source, you have an
efficient, totally integrated system. Consistent operational procedures
in all modules mean shorter training and implementation times.
Available in single and multi-user versions, Myte Myke is full-featured
software with mainframes origins. It is designed for small to medium
sized businesses, and departments or divisions of large corporations.
Although source code is available, the system is extremely flexible
without reprogramming. One system meets the manufacturing and
distribution needs in make-to-stock, make-to-order, repetitive and
process industries. A superior support program is available which
includes system enhancements.
Configuration Data:
not available
Pricing Information:
Depends on number of users - $23,000 and up
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
Myte Myke Order Entry/Billing
MDX141660000 DAR
M & D Systems, Inc.
3885 N. Buffalo Rd.
Orchard Park, NY 14127
Bill Carpenter (716) 662-6611
Industry:
Mfg/Discrete\Order Management
Accounting\Multifunctional Accounting Pkg
Mfg/Discrete\All Discrete Manufacturers
Product Description:
Myte Myke Order Entry and Billing module includes sales order
entry, inquiry, change, invoicing, backorders, standing orders, numerous
pricing options, credit checking and on-line inventory updates. Print
packing lists, sales orders, invoices, registers, and bills of lading.
Extremely flexible order status reporting by customer or item, printed
from active or historical data. Entry screens, packing lists and
invoices may be customized without reprogramming. The order entry
module is a powerful stand alone system, or may be integrated with other
Myte Myke accounting, distribution and manufacturing modules. Myte Myke
means full-featured, business application software, derived from a
mainframe environment. It is designed for small to medium size
businesses and departments or divisions of major corporations. Both
single and multi-user versions are available, along with a superior
support program that includes systems enhancements.
Configuration Data:
not available
Pricing Information:
Depends on number of users - $1500 and up
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
Myte Myke Production Costing
MDX141660000 DAR
M & D Systems, Inc.
3885 N. Buffalo Rd.
Orchard Park, NY 14127
Bill Carpenter (716) 662-6611
Industry:
Mfg/Discrete\Cost Accounting
Product Description:
Myte Myke Production Costing module contributes two specialized
programs to the Myte Myke Manufacturing system. One, you may report
production at varying levels of completion as each work center completes
its tasks. Raw material inventory is automatically updated based on the
standard quantities in the Bill of Material. This is sometimes called
"Backflush in phases". At the same time, adjust production quantities
if needed to repeg remaining material requirements. A second task of
Production Costing is to send production cost figures to general ledger
for financial reporting by product class and work center, with
breakdowns for material, labor and overhead (including manufacturing
variances). Standard Cost, Inventory Control and Production Order
Processing modules are required. Combine with Shop Floor Control
modules for further production control; integrate with other Myte Myke
Manufacturing, Distribution and Accounting modules for complete MRPII.
Myte Myke Software, available in single and multi-user versions, is
full-featured business software with mainframe origins. It is designed
for small to medium size companies, and departments or divisions of
major corporations. A superior support program is available that
includes systems enhancements.
Configuration Data:
not available
Pricing Information:
Depends on number of users - $1250 and up
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
Myte Myke Production Forecast Scheduling
MDX141660000 DAR
M & D Systems, Inc.
3885 N. Buffalo Rd.
Orchard Park, NY 14127
Bill Carpenter (716) 662-6611
Industry:
Mfg/Discrete\Capacity Requirements Planning
Mfg/Process\All Process Manufacturers
Mfg/Discrete\All Discrete Manufacturers
Product Description:
Myte Myke Production Forecasting/Scheduling module creates master
forecasts and schedules (long and short term) based on actual customer
order backlog, salesman forecasts, on hand inventory, open purchase
orders, planned production orders and/or work in process. It calculates
the latest production start date based on the promise date and the time
required to produce the product. On screen schedule displays are also
available, as well as Costed Schedules for cash flow analysis and
revenue projectons. The Production Forecasting/Scheduling module (along
with the required Standard Cost module) is an excellent stand alone
application for manufacturers or distributors, and is the basis for MRP
and a complete production planning system. Myte Myke Software,
available in single and multi-user versions, is full-featured business
software with mainframe origins. It is designed for small to medium
size companies, and departments or divisions of major corporations. A
superior support program is available that includes systems
enhancements.
Configuration Data:
not available
Pricing Information:
Depends on number of users - $1700 and up
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
Myte Myke Production Order Processing
MDX141660000 DAR
M & D Systems, Inc.
3885 N. Buffalo Rd.
Orchard Park, NY 14127
Bill Carpenter (716) 662-6611
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Custom\All Custom Manufacturers
Mfg/Process\All Process Manufacturers
Product Description:
Myte Myke Production Order Processing module is the basis for work
in process tracking, costing and production inventory control. Enter
planned production orders for scheduling purposes. Release orders to
the shop floor on the scheduled start date. Print pick lists, make
sheets and production status reports. On line inventory updates give
up-to-the-minute status of both raw materials and finished goods.
Standard Cost and Inventory Control module are required. Backflush
production orders at standard, or combine with Production Costing and/or
Shop Floor Control for further production control; integrate with other
Myte Myke Manufacturing, Distribution and Accounting modules for
complete MRPII. Myte Myke Software, available in single and multi-user
versions, is full-featured business software with mainframe origins. It
is designed for small to medium size companies, and departments or
divisions of major corporations. A superior support program is
available that includes systems enhancements.
Configuration Data:
not available
Pricing Information:
Depends on number of users - $1700 and up
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
Myte Myke Standard Cost (BOM)
MDX141660000 DAR
M & D Systems, Inc.
3885 N. Buffalo Rd.
Orchard Park, NY 14127
Bill Carpenter (716) 662-6611
Industry:
Mfg/Discrete\Bill of Materials
Accounting\Budgeting
Accounting\Cost Accounting
Product Description:
Myte Myke standard cost module is a powerful management tool which
combines a Bill of Material Processor with Standard Costing. It is
designed for use by all departments... engineering, materials
management, production and accounting. Multi-level PRODUCT STRUCTURES
combine bills of material with operations routing and set ups for a
total picture of each product. Overhead, scrap factors, tools,
by-products and expected production yields may be considered. Batch
quantity and formulation capabilities make Myte Myke Standard Cost
suitable for both discrete and process manufacturers. Easily re-cost
products based on material, labor or overhead changes both for current
standards or for "What If" projections. Do automatic cost rollups.
Generate same-as-except products. Print Indented Bills, Standard Cost
and Where Used Reports. The Standard Cost module is an excellent stand
alone application, and it is the basis for Myte Myke MRP, Scheduling and
Production Control. Myte Myke Software, available in single and
multi-user versions, is designed for small to medium size companies. A
superior support program is available that includes systems
enhancements.
Configuration Data:
not available
Pricing Information:
Depends on number of users - $1700 and up
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
NC Electrical Discharge Machining(EDM)
OBASEQA00000 Premier VAR
Auto-trol Technology Corp.
12500 N. Washington St.
Headquarters
Denver, CO 80241-2406
FAX (303) 252-2249
Industry:
Mfg/Discrete\Numeric Control
Mfg/Aero/Defense/Govt Contract\Numeric Control
Mfg/Custom\Numeric Control
Product Description:
NC EDM supports a 2-axis or 4-axis profile and tilt wire electrical
discharge machining operations for both constant and variable tapered
parts. It generates entry and exit cuts, cutter compensation, glue
stops and radii on corners automatically. NC/EDM also provides general
NC utilities point-to-point, macros, tooling, CLFILE output and powerful
tool path verification and editing functions.
Prequisite: Series 7000 Graphics Software
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
NC Flame Cutting Module
OBASEQA00000 Premier VAR
Auto-trol Technology Corp.
12500 N. Washington St.
Headquarters
Denver, CO 80241-2406
FAX (303) 252-2249
Industry:
Mfg/Discrete\Numeric Control
Mfg/Aero/Defense/Govt Contract\Numeric Control
Mfg / Ind Specific Solutions\Fabricated Metal Products Mfg
Product Description:
NC Flame Cutting generates a toolpath for flame, plasma, laser, and
water-jet cutting operations. It adds entry and exit cuts and loops on
corners automatically. NC Flame Cutting also provides general NC
utilities, point-to-point, macros, tooling, CLFILE output and powerful
tool path verification and editing functions.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
NC Graphics
STC481660000 VAR
Schlumberger Technologies CAD/CAM Div.
4251 Plymouth Rd.
Ann Arbor, MI 48106
Jim Fall (313) 995-6000
Industry:
Mfg/Discrete\Computer-Aided Manufacturing
Mfg/Aero/Defense/Govt Contract\Numeric Control
Product Description:
NC Graphics lets users generate numberically controlled toolpaths
using design geometry from engineering data bases. The package
generates 2- and 21/2-axis toolpaths for milling, drilling, and turning
operations. The package graphically displays toolpaths in three
dimensions on part geometry for visual verification of machining
motions. It applies automatic routines for lathe roughing, grooving,
and threading operations; mill pocketing; group optimization; and
profile boundary machining. NC Graphics allows interactive motion for
flexible machininig of individual geometric entities. Outputs are
generated as machine codes, Compact II, and APT source files.
Configuration Data:
16 MB Memory, 420 MB Disk
Pricing Information:
Available upon request
System Information:
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
NC Lathe Module
OBASEQA00000 Premier VAR
Auto-trol Technology Corp.
12500 N. Washington St.
Headquarters
Denver, CO 80241-2406
FAX (303) 252-2249
Industry:
Mfg/Discrete\Numeric Control
Mfg/Aero/Defense/Govt Contract\Numeric Control
Mfg/Custom\Numeric Control
Product Description:
NC Lathe supports all lathe operations, including turning, facing,
backfacing, boring, drilling, tapping, grooving, threading and cutoff.
A library of lathe tools is provided which demonstrate the user tool
system and enhances visualization of the operation. NC Lathe also
provides general NC utilities, point-to-point, macros, tooling, CLFILE
output and powerful tool path verification and editing functions.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
NC Multi-Surface Milling Module
OBASEQA00000 Premier VAR
Auto-trol Technology Corp.
12500 N. Washington St.
Headquarters
Denver, CO 80241-2406
FAX (303) 252-2249
Industry:
Mfg/Discrete\Numeric Control
Mfg/Aero/Defense/Govt Contract\Numeric Control
Mfg/Custom\Numeric Control
Product Description:
NC Multi-Surface Milling provides powerful 3-axis milling functions
to both rough and finish 3D surfaced models using flat bottom, bull nose
or ball cutters. Up to 1000 trimmed and untrimmed surfaces can be
machined automatically to user-specified tolerances. It includes
special functions to machine cavity and core impression geometry
automatically for molds that produce plastic, rubber, cast metal or
other molds parts. NC Multi-Surface Milling also provides general NC
utilities, point-to-point, macros, tooling, CLFILE output and powerful
tool path verification and editing functions.
Prerequisite: Series 7000 Graphics Software Surface Modeling
Recommended: To machine 2-axis through 5-axis parts: NC
Profile/Pocket and NC Surface Milling
Configuration Data:
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
NC Profile/Pocket Module
OBASEQA00000 Premier VAR
Auto-trol Technology Corp.
12500 N. Washington St.
Headquarters
Denver, CO 80241-2406
FAX (303) 252-2249
Industry:
Mfg/Discrete\Numeric Control
Mfg/Aero/Defense/Govt Contract\Numeric Control
Mfg/Custom\Numeric Control
Product Description:
NC Profile/Pocket generates rough, semi-finish and finish profile
operations automatically. It supports pocket machining to depths or
surfaces, parts with islands and pinched-off areas and pocketing with a
constant taper. Approach and retract are under operator control.
Color-coded feed rates and color fill enhance the view of the toolpaths.
NC Profile/Pocket also provides general NC utilities, point-to-point,
macros, tooling, CLFILE output, and powerful tool path verification and
editing functions.
Prerequisite: Series 7000 Graphics Software
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
NC Punching Module
OBASEQA00000 Premier VAR
Auto-trol Technology Corp.
12500 N. Washington St.
Headquarters
Denver, CO 80241-2406
FAX (303) 252-2249
Industry:
Mfg/Discrete\Numeric Control
Mfg/Aero/Defense/Govt Contract\Numeric Control
Mfg / Ind Specific Solutions\Fabricated Metal Products Mfg
Product Description:
NC Punching is a 2D machining system for sheet metal punching that
provides standard tools and special operations, such as bridges,
cutouts, and single-hit and continuous-ram operations. The punch shapes
appear with color fill to enhance the view of the tool hit locations.
NC Punching also provides general NC utilities, point-to-point, macros,
tooling, CLFILE output and powerful tool path verification and editing
functions.
Prerequisite: Series 7000 Graphics Software
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
NC Surface Milling Module
OBASEQA00000 Premier VAR
Auto-trol Technology Corp.
12500 N. Washington St.
Headquarters
Denver, CO 80241-2406
FAX (303) 252-2249
Industry:
Mfg/Discrete\Numeric Control
Mfg/Aero/Defense/Govt Contract\Numeric Control
Mfg/Custom\Numeric Control
Product Description:
NC Surface Milling provides advanced surface milling capabilities
to program 3-axis through 5-axis tool motion on a single Series 7000
surface. It supports bidirectional and unidirectional sculptured
surface lace cutting options and performs cutter location offset
calculations automatically using cutting tolerance, containment control,
tool axis specification, and scallop height settings. NC Surface
Milling also provides general NC utilities, point-to-point, macros,
tooling, CLFILE output and powerful tool path verification and editing
functions.
Prerequisite: Series 7000 Graphics Software Surface Modeling
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
NCEXPERT
OPT640400000 ISV
Optimation Inc.
300 N. Osage 2nd Fl.
Independence, MO 64050-2705
FAX (816) 257-4780
Industry:
Mfg/Discrete\Computer-Aided Manufacturing
Mfg/Custom\All Custom Manufacturers
Mfg / Ind Specific Solutions\Fabricated Metal Products Mfg
Product Description:
NCEXPERT is a revolutionary software tool used to automatically
create NC parts from CAD geometries, and is designed for contour
cutters, routers, lasers, punch press machines tools, and punch/contour
machines. Using one of several CAD geometry output formats and applying
machine process and capability information, an NC ready tape image is
created. This process occurs with little or no user input required,
however interactive editing does allow modification of the NC result
through graphical interaction if desired. NCEXPERT will accept
geometric input written in IGES, GAIN, CADAM IUE and CATIA IUA. A new
NCEXPERT user will describe the process information through a set of
data files, which are used to define the machine processing type,
machine capabilities, tooling and raw material characteristics. This
set up is done one time for every unique machine to which parts will be
directed. Output from NCEXPERT is a machine specific NC part, which
will contain NC codes which may be directed to the machine/control
configuration specified and described at installation.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
NRP/3000 - Net Resource Planning
DSF821410100 ISV
Dennis and Schwab Inc. (DSI)
17330 Newhope St. #A
Fountain Valley, CA 92708
Dennis Doi (714) 241-4550
Industry:
Mfg/Discrete\MRPII Systems
Mfg/Discrete\Capacity Requirements Planning
Mfg/Discrete\Master Scheduling
Product Description:
NRP/3000 maintains and processes, Master Shipping Forecasts and
master Build Schedules. "What-If" and final MRP plans can be created
from any combination of customer Order backlog forecasted shipments, and
build schedule. Bucketless time-phased, net-change or regenerated
requirements plans provide re-planning messages and update transactions
by planning codes. Automatic lot-sizing and yield calculations provide
an effective exception reporting and action schedule. NRP/3000 also
produces capabity reports of material dollars, labor hours, labor
dollars, and machine hours.
In addition to being fully integrated with other QED/3000 modular,
NRP/3000 can be integated with Hewlett-Packard's MM/3000 manufacturing
software, providing nettable and non-nettable, warehouses, master
scheduling and net change a "What-If" MRP to MM/3000 users.
NRP/3000 is written in COBOL and utilizes both TURBO IMAGE and
VPLUS.
Configuration Data:
not available
Pricing Information:
Value priced by HP model starting at $6,250
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
Numerical Control Package
OBASEQA00000 Premier VAR
Auto-trol Technology Corp.
12500 N. Washington St.
Headquarters
Denver, CO 80241-2406
FAX (303) 252-2249
Industry:
Mfg/Discrete\Numeric Control
Mfg/Aero/Defense/Govt Contract\Numeric Control
Mfg / Ind Specific Solutions\Fabricated Metal Products Mfg
Product Description:
Numerical Control Package combines seven NC modules.
* Punching
* Lathe
* Profile/Pocket
* Surface Milling
* Electrical Discharge Machining
* Flame Cutting
* Multi-Surface Milling
It also provides general NC utilities, point-to-point, macros,
tooling, CLFILE output, and powerful tool path verification and editing
functions.
Prerequisite: Series 7000 Graphics Software
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
OASSIS(Operation/Set-up Intelligent Sys)
OBASAPX00000 VAR
Gerber Systems Corporation
83 Gerber Rd. W.
South Windsor, CT 06074
FAX (203) 282-7632
Industry:
Mfg/Discrete\Routing
Mfg/Custom\Shop Floor Control
Mfg/Discrete\Shop Floor Control
Product Description:
OASSIS software automates the production and control of
manufacturing operation and set up sheets, tool lists, and other and
related shop floor documents. Using OASSIS, process planners can share
shop floor documentation with functionally related departments
throughout the organization. Documents created with OASSIS contain all
the features of standard operation sheets, including sketches and
dimensioned drawings, manufacturing instructions, and identifying data.
All the sheets for each project are grouped together in an electronic
folder. Sheets can be created, modified, reordered, viewed, queried for
the purpose of creating reports, and printed. Images can be transferred
to the terminals at the machining center, replacing paper with
electronic documentation and ensuring accuracy and up-to-date
information. OASSIS can be used by any manufacturing industry. OASSIS
function independently or in conjunction with virtually any CAD system.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
PEM3000
ADV462660000 ISV
Advacate, Inc.
6435 Castleway Dr. #120
Indianapolis, IN 46250
FAX (317) 842-7188
Industry:
Mfg/Discrete
Mfg/Process\Maintenance Management
Mfg/Discrete\Maintenance Management
Product Description:
The Plant and Equipment Maintenance System (PEM3000) is designed to
manage both preventive and unscheduled maintenance on your assets.
The system's flexible design can be easily adapted to fit almost
any maintenance operation. The system includes four integrated modules:
asset information, preventive maintenance, work order management and
cost tracking. Maintenance planning is supported by on-line access to:
* Reports on scheduled maintenance due
* Detailed work plans for scheduled maintenance and work orders
* Time, labor and material cost estimates and history
* Cost tracking by project, work order, asset and sub-component
* Work order status
* Inventory status
PEM3000 includes service parts inventory
Configuration Data:
not available
Pricing Information:
$4,500
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
PILOT Inventory Control System
CSC821440100 DAR
Chris Schaefer & Co.
16420 Park Ten Pl. Dr.#500
Houston, TX 77084-5052
Chris Schaefer (713) 578-9955
Industry:
Mfg/Discrete\Inventory Control
Distribution for Manufacturers\Durable Goods
Mfg/Process\Inventory Control
Product Description:
Multi-warehouse inventory system for manufacturing and
distribution.
Multiple location processing and on-line master file maintenance
stand alone or interfaces to general ledger, invoicing or purchase order
system. Exception reporting based on user assigned reorder points
direct CRT inquiry into inventory stock status by warehouse and item
code. Stock status inquiry includes quantity on hand quantity on order,
quantity committed and unit cost data. Monthly sales data comparing
dollar sales this year to sales last year by item. Journal of all
inventory master list.
Handles purchases, material receipts, customer orders, shipments,
adjustments, warehouse transfers and transfers to work in process.
Maintains raw materials and finished goods inventories.
Configuration Data:
not available
Pricing Information:
$7,000. Physical inventory subsystem $3,000.
System Information:
HP3000 MPE
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
PILOT Order Entry & Invoicing System
CSC821440100 DAR
Chris Schaefer & Co.
16420 Park Ten Pl. Dr.#500
Houston, TX 77084-5052
Chris Schaefer (713) 578-9955
Industry:
Mfg/Discrete\Order Management
Distribution for Manufacturers\Durable Goods
Mfg/Custom\Order Management
Product Description:
Entry of customer orders. Picking tickets, shipping, invoicing,
inventory and accounts receivable interfaces.
The Order Entry/Invoicing System was designed to provide timely and
accurate management information for the analysis and control of order
entry and invoicing. The System will simplify time consuming routine
clerical work, eliminate entering redundant data into the system and
help assure the accuracy of that information.
The system includes the following features to assist management in
processing and controlling customer's orders, in shipping and in
invoicing goods and services delivered:
Multiple company processing.
Invoice amounts and line items must zero balance at point of CRT
entry.
Automatically generates general ledger transactions for invoices
processed.
Computer prepared picking lists for multiple warehouses on an
order.
Invoices are computer prepared based on materials shipped and/or
services delivered.
The Order Entry/Invoicing System automatically interfaces with the
Accounts Receivable, Inventory and General Ledger Systems.
Configuration Data:
not available
Pricing Information:
$6,000
System Information:
HP3000 MPE
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
PM to MM Lead Time Calculation
CCH466500100 ISV
Crowe, Chizek and Company
2100 Market Tower
10 West Market St.
Indianapolis, IN 46204
Mark Hemauer (317) 632-8989
Industry:
Mfg/Discrete\MRPII Systems
Mfg/Process\All Process Manufacturers
Mfg/Support\General Manufacturing Mgmt
Product Description:
When orders are added or suggested for a part, the Materials
Management System plans the required date for the availability of the
components and the start date of the order by offsetting the order due
date by the lead time in days for the part. Production Management, on
the other hand, uses the order due dates as entered in Material
Management and back-schedules the order according to the routing using
the defined calendars to schedule the order. Therefore, if the lead
time used in Material Management does not closely match the schedule as
calculated through the routing, either the order will initially be
scheduled in Product Management as overdue (MM lead time less than PM
schedule calculation) or material will be available earlier than
required causing excess inventory (MM lead time greater than PM schedule
calculation). Crowe, Chizek and Company has developed a process whereby
the routing existing in Production Management will be used to calculate
the lead time in Materials Management. This process involves adding
screens and data items to the standard system along with some custom
developed programs that use the continous batch processor to update
parts with the correct lead time, keeping your two systems synchronized.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
PMIS/Area-Manager
BWA303500100 Premier ISV
Bradley Ward Systems, Inc.
5901 Peachtree Dunwoody Rd. N.E.
Suite 415
Atlanta, GA 30328-5342
FAX (404) 396-6509
Industry:
Mfg/Discrete
Product Description:
The PMIS/Area-Manager is a centralized information management
system for a number of work-cell controller devices. This system
automatically downloads and collects information to and from remote
work-cells. Product Features:
Communications with all types of cell-controller systems:
programmable logic controllers (PLC), remote computer systems executing
PMIS/Cell-Controller software, and remote computer systems executing
user-written or third-party cell controller software.
Executes custom action sequences, such as recipe download on
demand.
Manages transaction- or event-based information.
Provides for centralized historic data logging on a time- or
event-basis.
The PMIS/Area-Manager is part of the PMIS (Process Management and
Information System) family of solution software from Bradley Ward, Inc.
The heart of the PMIS software is the real-time, memory-resident
database which manages data of all types (scalar or arrays, discrete,
integer, double integer, floating point, and ASCII) or formats (scalar
or array).
All configuration is performed by menu-driven, fill-in-the-blank
screens, which can be used while the system is collecting and managing
data. This provides for fast start-up and easy changes or additions to
the application definition.
All real-time information can be accessed from screen-based
operator or management displays, which use either standard terminals or
X-Window workstations. These displays are created by screen-based
interactive editors.
Friendly interactive tools, such as process graphics, trending, and
statistical charts are available for PMIS for both real-time and
historic information analysis.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP1000 RTE
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
PMIS/Cell-Controller
BWA303500100 Premier ISV
Bradley Ward Systems, Inc.
5901 Peachtree Dunwoody Rd. N.E.
Suite 415
Atlanta, GA 30328-5342
FAX (404) 396-6509
Industry:
Mfg/Discrete
Product Description:
The PMIS/Cell-Controller is a workcell-oriented monitoring and
control system. This system integrates the cell-control computer system
to virtually any type of manufacturing equipment. Multiple
PMIS/Cell-Controller systems can be integrated to a computer system
performing area-management functions.
Product Features:
Communicates with all types of manufacturing equipment: robots,
electronic assembly equipment, test equipment, programmable logic
controllers (PLC), instrumentation, and remote computer systems.
Executes custom cell-control and action sequences.
Manages transaction- or event-based information.
Data storage is performed automatically, on a time- or event-basis.
The PMIS/Cell-Controller is part of the PMIS (Process Management
and Information System) family of solution software from Bradley Ward,
Inc. The heart of the PMIS software is the real-time, memory-resident
database which manages data of all types (scalar or arrays, discrete,
integer, double integer, floating point, and ASCII) or formats (scalar
or array).
All configuration is performed by menu-driven, fill-in-the-blank
screens, which can be used while the system is collecting and managing
data. This provides for fast start-up and easy changes or additions to
the application definition.
All real-time information can be accessed from screen-based
operator or management displays, which use either standard terminals or
X Windows workstations. These displays are created by screen-based
interactive editors.
Friendly interactive tools, such as process graphics, trending, and
statistical charts are available for PMIS for both real-time and
historic information analysis.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP1000 RTE
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
PRO: Man Plus
ASO140660000 DAR
Automated Computer Solutions
5763 Seneca St.
Elma, NY 14059
FAX (716) 675-1878
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Custom\All Custom Manufacturers
Mfg/Support\Manufacturing Information Sys.
Product Description:
Pro: Man Plus Release 4.0
* A nationally recognized manufacturing system with hundreds of
installations worldwide
* A fully integrated, comprehensive manufacturing control system
including financials
* Designed to be installed and operated by your people (with no EDP
staff required)
* Documentation includes four levels of complete on-line help for
the users, a tutorial demo for the trainers, and an implementation
manufalf or the project manager
* Developed with a state-of-the-art 4th generation language with
windowing capability and a powerful, flexible English query capability
* Operates on the PICK and PICK/UNIX multi-use operating systems
which are transportable between many hardware platforms
* Ensures a one-time investment in software that can accommodate
unlimited growth from PCs to mainframes
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mfg/Discrete
PROBE III
EFA601660000 DAR
EFAX Corporation
444 N. York Rd.
Elmhurst, IL 60126
Terry Kelleher (708) 279-9292
Industry:
Mfg/Discrete\Maintenance Management
Mfg/Custom\Maintenance Management
Mfg/Process\Material Control
Product Description:
PROBE III is a totally integrated Computerized Maintenance
Management Systems (CMMS) which consists of:
Research Management System: A complete computerized plant
maintenance system which features: Planning, scheduling, work orders,
craftsmen, and preventive maintenance in concert with maintenance
material required to complete any maintenance function. Uses a unique
search capability.
Maintenance Material System: Inventory Management System is
designed to reduce inventory dollars by providing ABC analysis, dead
stock notification, daily recalculation of reorder points, EOQ's,
electronic purchase requisition, purchase ordering with 3 way match and
accounts payable.
Configuration Data:
not available
Pricing Information:
$10,000 = $150,000
System Information:
9000/1200 HP-UX
HP VECTRA MS-DOS
HP VECTRA SCO-UNIX
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mfg/Discrete
PRP/3000 - Procurement Requirements
DSF821410100 ISV
Dennis and Schwab Inc. (DSI)
17330 Newhope St. #A
Fountain Valley, CA 92708
Dennis Doi (714) 241-4550
Industry:
Mfg/Discrete\Purchasing
Mfg/Support\Purchasing
Mfg/Discrete\All Discrete Manufacturers
Product Description:
The PRP/3000 module handles all phases of purchasing management,
including requisitions, quotes vendor suggestions and conversion to POs.
PO changes printed (with a cover letter), receipts and invoice
recording. Standard features include automatic source and preparation
of POs from MRP plans; contract and blanket PO management; by history;
vedor performance analysis based on multiple criteria by commondity
code; material tracking at vendor sites for consignment POs and much
more. Comprehensive on-line inquiries; over 30 different reports.
PRP/3000 is written in COBOL and utilizes TURBO IMAGE and VPLUS.
Configuration Data:
not available
Pricing Information:
Valud priced by HP model starting at $5,000
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
Paperless MRP
CCH466500100 ISV
Crowe, Chizek and Company
2100 Market Tower
10 West Market St.
Indianapolis, IN 46204
Mark Hemauer (317) 632-8989
Industry:
Mfg/Discrete\MRPII Systems
Mfg/Process\All Process Manufacturers
Mfg/Support\General Manufacturing Mgmt
Product Description:
Paperless MRP is a stand alone product that will extract MRP data
from HP's MM II MRP files and allow the user to review all part and
action information On-Line.
The screen provides the On-Line review of part and action
information created during the last MRP run. The upper portion of the
screen will display all of the part information while the lower portion
will detail all of the actual orders and the suggested action. Pressing
F2 will display only the messages for which MRP has suggested some type
of action.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
Point.Man
SPE018660000 Premier VAR
Spectrum Associates, Inc.
600 W. Cummings Park
Woburn, MA 01801
FAX (617) 932-3878
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Discrete\MRPII Systems
Product Description:
Point.Man is an new enterprise-wide system that leverages
Spectrum's experience in designing, installing, customizing, and
servicing manufacturing applications, and takes advantage of advances
that are defining the next wave of computer technology.
Point.Man incorporates an open systems architecture, a graphical
user interface, a relational database, and an object-oriented user
interface, a relational database, and an object-oriented fourth
generation language, within a true client/server distributed processing
model. Point.Man combines these technologies within a single system
blueprint that integrates manufacturing, purchasing, customer service,
financial management and end-user reporting. The system incorporates a
number of features that make it easier for users to access and share
information, including point-and-click navigation, fax transmission,
imaging, spreadsheet, graphics, voice, and word processing functions.
Point.Man brings together the components that are defining the next
wave of computer technology:
* Client server distributed processing
* Open systems architecture
* Fourth generation language
* Graphical luser interface
* Relational database
* Object-oriented programming
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
9000/1200 HP-UX
HP VECTRA MS-DOS
HP3000 MPE
HP3000/900 MPE/iX
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mfg/Discrete
Purchase Order Processing (POP)
DSF821410100 ISV
Dennis and Schwab Inc. (DSI)
17330 Newhope St. #A
Fountain Valley, CA 92708
Dennis Doi (714) 241-4550
Industry:
Mfg/Discrete\Purchasing
Product Description:
The Purchase Order Processing (POP) module provides an on-line
interactive database system which creates, maintains and reports on
purchasing data. POP offers purchase order creating, printing, tracking
and allows purchase order review by order number, part number or vendor.
It provides an on-line vendor data file for review and printing of
vendor information.
Configuration Data:
not available
Pricing Information:
COBOL source code
System Information:
HP3000 MPE
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
Purchasing/3000
AIM852660000 ISV
Arizona Industrial Management Systems
515 S. 48th St. #103
Tempe, AZ 85281-2321
James S. Lawshe (602) 921-0855
Industry:
Mfg/Discrete\Purchasing
Mfg/Process\Purchasing
Mfg/Support\Purchasing
Product Description:
The Arizona Industrial Management System's (AIMS) Purchasing System
is designed to integrate requirements from production (MRP) and
maintenance systems and consolidate all purchasing functions into a
single system. The AIMS Purchasing System specifically interfaces to:
HP Material Management System, HP Maintenance Management System and
Accounts Payable Systems.
Major features supported by the AIMS Purchasing System include:
* Requisition Processing
* Quoting
* Blanket Orders with Releases
* Purchase Order generation and maintenance
* Three way matching of invoices
* Interface to EDI order transmission system
* User requisitioning and authorization
Configuration Data:
not available
Pricing Information:
Series 950/955 $26,500 - all others $21,500
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
QED/3000
DSF821410100 ISV
Dennis and Schwab Inc. (DSI)
17330 Newhope St. #A
Fountain Valley, CA 92708
Dennis Doi (714) 241-4550
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg / Ind Specific Solutions\Food Manufacturing
Mfg/Discrete\Manufacturing MIS
Product Description:
QED is an on-line, interactive, TURBO IMAGE based, closed loop
fully integated modular system for factory management modules are
grouped in subsystems the support Sales Order Management, Materials
Management and Production and Cost Management. QED operates in either
work order or repetitive environments (or both mixed) with complete lot
control. QED is written in COBOL and utilizes both VPLUS/3000 and
Intermec Bar Code devices. DSI provides system integration and
customization services on trains user personnel to perform those
functions. QED is installed at over 80 sites throughout the United
States ranging from job shop, through batch release and repetitive
environments.
Configuration Data:
not available
Pricing Information:
Modular-See individual module pricing
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
RA/260 (Auto Supplier)
WOM488500100 ISV
Womack Computer Systems, Inc.
2024 Lansing Rd.
Charlotte, MI 48813
David Womack (517) 543-3850
Industry:
Mfg/Discrete\Release Accounting
Mfg / Ind Specific Solutions\Transportation Equipment Mfg
Product Description:
Release accounting and production control for suppliers to the "BIG
FOUR" automobile companies.
Release Accounting for automotive suppliers: conforms to data
communications requirements of the Big Four auto companies and conforms
to A.I.A.G. specifications.
Productions module includes: Job Quotations, M.R.P., Infinite
Bills-of-Material nesting, W.I.P. and finished goods inventory, Raw
Material inventory; shift efficiency, Production, Cost, and Inventory
reporting. Cost and Production history Tracking.
Shipping module includes: Shipping/Pre-invoice report, Auto-Invoice
Generation, Bills of Lading and Canadian Import forms, Maximum
fabrication and material authorizations tracking, Automatic retroactive
price increase re-billing. Automatic master record generation through
release data communication.
Configuration Data:
not available
Pricing Information:
$11,000.00
System Information:
not available
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
RA/9000 - Release Accounting-Automotive
WOM488500100 ISV
Womack Computer Systems, Inc.
2024 Lansing Rd.
Charlotte, MI 48813
David Womack (517) 543-3850
Industry:
Mfg/Discrete\Release Accounting
Product Description:
RA/9000 is a complete Release Accounting and Manufacturing system
designed for the automobile supplier. Conforms to AIAG communications
standards and uses the EDI required standard. The system automatically
creates invoices directly from data comm releases, auto posts to
inventory and cumb shipped files. RA/9000 also automatically creates
ASN records. Sequencing and Bar Coding modules are optional.
Includes a complete set of Financial modules and offers an optional
set of Manufacturing programs.
RA/9000 is designed as a screen based dynamic, on-line system; not
a paper based, batch report system. Lookups are quick and simple.
The system is designed to require operator input only for the
exceptions. The normal and routine functions are handled automatically.
Configuration Data:
not available
Pricing Information:
$12,900.00
System Information:
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
RF (Radio Frequency) EXPRESS/HPPO
ECD074660000 ISV
Eagle Consulting & Development Corp.
170 Kinnelon Rd. #3
Kinnelon, NJ 07405
Fax (201) 494-2758
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Aero/Defense/Govt Contract\All Aero/Defense/Govt Mfg
Mfg/Process\All Process Manufacturers
Product Description:
RF EXPRESS allows 100% portable, and 100% on-line hand-held
terminals with laser scanners to be integrated into your HP 3000
systems. RF EXPRESS consists of a driver and Programmers' Toolset, and
an application interface.
The driver controls 16 hand-held units per HP 3000 port and each
driver program can control many remote locations. The Programmers'
Toolset allows any programming language easy access to an RF terminal.
Custom programming is as easy as replacing "reads" and "writes" with an
RFIO call. RF EXPRESS causes low CPU overhead, while providing response
time that rivals direct connect terminals.
RF EXPRESS HPPO application module supports access to ADD RECEIPT
HPPO transaction. Optional bar code label generation is available from
this transaction.
Automated Data Collection analysis, customization, implementation,
and support services are also available.
Configuration Data:
not available
Pricing Information:
By Transaction Configuration
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
RF (Radio Frequency) EXPRESS/MANMAN
ECD074660000 ISV
Eagle Consulting & Development Corp.
170 Kinnelon Rd. #3
Kinnelon, NJ 07405
Fax (201) 494-2758
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Aero/Defense/Govt Contract\All Aero/Defense/Govt Mfg
Mfg/Process\All Process Manufacturers
Product Description:
RF ASK EXPRESS allows 100% portable, and 100% on-line hand-held
terminals with laser scanners to be integrated into your HP 3000 system.
RF ASK EXPRESS consists of a driver and Programmers' Toolset, and an
application interface.
The driver controls 16 hand-held units per HP 3000 port and each
driver program can control up to 10 remote locations. The Programmers'
Toolset allows any programming language easy access to an RF terminal.
Custom programming is an easy as replacing "read" and "writes" with an
RFIO call. RF EXPRESS causes low CPU over head, while providing
response time that rivals direct connect terminals.
RF EXPRESS MM application module supports access to numerous ASK
MANMAN transactions including cycle count, parts issued, location
transfer, and kiting a work order. As with all RF EXPRESS modules,
optional bar coded labels can be printed.
Automated Data Collection analysis, customization, implementation,
and support services are also available.
Configuration Data:
not available
Pricing Information:
By Transaction Configuration
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
RF (Radio Frequency) EXPRESS/MM 3000
ECD074660000 ISV
Eagle Consulting & Development Corp.
170 Kinnelon Rd. #3
Kinnelon, NJ 07405
Fax (201) 494-2758
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Aero/Defense/Govt Contract\All Aero/Defense/Govt Mfg
Mfg/Process\All Process Manufacturers
Product Description:
RF EXPRESS allows owners of Materials Management/3000 to have 100%
portable, and 100% on-line hand-held terminals with laser scanners
integrated into their HP 3000 system. RF EXPRESS consists of a driver
and Programmers' Toolset, and an application interface.
The driver controls 16 hand-held units per HP 3000 port and each
driver program can control up to 10 remote locations. The Programmers'
Toolset allows any programming language easy access to an RF terminal.
Custom programming is as easy as replacing "reads" and "writes" with an
RFIO call. RF EXPRESS causes low CPU overhead, while providing response
time that rivals direct connect terminals.
RF EXPRESS MM application module supports access to numerous
MM/3000 transcations including:
Change location; Count; Issue allocation; Issue extra usage;
Material locator; Move; Receive inspection location; Receive purchase
order item; Receive manufacturing order; Receive; Work order; Return to
stock; Unplanned issue.
RF EXPRESS also provides optional bar code label generation from
these transactions.
Automated Data Collection analysis, customization, implementation,
and support services are also available.
RF EXPRESS offers unlimited potential for HP3000 sites using
Manufacturing, Distriabution, and Retail Applications.
Configuration Data:
not available
Pricing Information:
By Transaction Configuration
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
RF (Radio Frequency) EXPRESS/PM 3000
ECD074660000 ISV
Eagle Consulting & Development Corp.
170 Kinnelon Rd. #3
Kinnelon, NJ 07405
Fax (201) 494-2758
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Aero/Defense/Govt Contract\All Aero/Defense/Govt Mfg
Mfg/Process\All Process Manufacturers
Product Description:
RF EXPRESS allows 100% portable, and 100% on-line hand held
terminals with laser scanners to be integrated into your HP 3000
systems. RF EXPRESS consists of a driver and programmers toolset, and
an optional application interface.
The driver controls 127 hand held units per HP 3000 port and each
driver program can control up to 10 remote locations. The Programmers'
toolset allows any programming language easy access to an RF terminal.
Custom programming is as easy as replacing " reads and writes" with an
RFIO call. RF EXPRESS causes low CPU overhead, while providing response
time that rivals direct connect terminals.
RF EXPRESS MM application module supports access to numerous
PM/3000, material transactions including CHANGE LOT STATUS, CREATE
PARTIAL, STEP COMPLETION, and STEP LABOR. Optional bar coded label
generation is available from the transactions.
Automated data collection analysis, customization, implementation,
and support services are also available.
Configuration Data:
not available
Pricing Information:
By Transaction Configuration
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
RF Express for QAD's MFG/PRO
ECD074660000 ISV
Eagle Consulting & Development Corp.
170 Kinnelon Rd. #3
Kinnelon, NJ 07405
Fax (201) 494-2758
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Aero/Defense/Govt Contract\All Aero/Defense/Govt Mfg
Mfg/Process\All Process Manufacturers
Product Description:
RF EXPRESS allows owners of QAD's MFG/PRO to have 100% portable,
and 100% on-line had held terminals with laser scanners integrated into
their HP-UX business system. RF EXPRESS consists of a Server, Client
Toolset, and an Application Interface.
RF EXPRESS MFG/PRO Interface supports access to numerous MFG/PRO
transactions. RF EXPRESS also provides barcode label generation.
Supported hardware Norand, Symbol, Hand Held Products, Intermec,
Linx, Computer Identics, HP, and Zebra. Automated Data Collection
analysis, customization, implementation, and support services are also
available. MFG/PRO is a trademark of qad. inc.
Configuration Data:
not available
Pricing Information:
By transaction configuration and platform
System Information:
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mfg/Discrete
RIO/3000 - Receiving Inspection Option
DSF821410100 ISV
Dennis and Schwab Inc. (DSI)
17330 Newhope St. #A
Fountain Valley, CA 92708
Dennis Doi (714) 241-4550
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Discrete\Inventory Control
Mfg/Discrete\Lot/Serial Number Traceability
Product Description:
RIO/3000 aids in the disposition of receipts into inspection,
either from purchase orders or inventory. It allows lot tracking
through inspection, offers rejection and disposition of material into
several categories, inspection ticket and label printing, and can hold
material lots unavailable. RIO's return to vendor function includes
inventory reduction and shipper documents. Optional functions include
lot splitting, printing inspection tickets and labels, and bar coded
transaction entry.
RIO/3000 is written in COBOL II and utilizes with TURBO IMAGE and
VPLUS.
Configuration Data:
not available
Pricing Information:
$12,000 COBOL source code
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
RMS/260
WOM488500100 ISV
Womack Computer Systems, Inc.
2024 Lansing Rd.
Charlotte, MI 48813
David Womack (517) 543-3850
Industry:
Mfg/Discrete\Release Accounting
Mfg / Ind Specific Solutions\Transportation Equipment Mfg
Mfg/Discrete\Inventory Control
Product Description:
Release Accounting for automotive suppliers: conforms to data
communications requirements of the Big Four auto companies and conforms
to A.I.A.G. specifications.
Production module includes: Job Quotations, M.R.P., Infinite
Bills-of-Material nesting, W.I.P. and finished goods inventory, Raw
Material inventory. Shift efficiency, Production, Cost, Inventory
reporting. Cost and production history tracking.
Shipping module includes: shipping/Pre-invoice report,
Auto-Invoice Generation, Bills of Lading and Canadian Import forms,
Maximum fabrication and material authorizations tracking, Automatic
retroactive price increase re-billing, Automatic master record
generation through release data communication.
Configuration Data:
not available
Pricing Information:
$10,500
System Information:
not available
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
RWP/3000 Routing & Workcenter Processing
DSF821410100 ISV
Dennis and Schwab Inc. (DSI)
17330 Newhope St. #A
Fountain Valley, CA 92708
Dennis Doi (714) 241-4550
Industry:
Mfg/Discrete\Routing
Mfg/Discrete\All Discrete Manufacturers
Mfg/Discrete\Production Management
Product Description:
The Routing and Workcenter Processing (RWP) module maintains and
manipulates workcenter and workstation data, a workstation exception
calendar and parts routing data. Workcenter and workstation records may
be added, deleted, updated and reviewed on-line with the use of RWP.
This interactive module also calculates manufacturing lead times and
yields from workcenter and parts data.
RWP/3000 is written in COBOL and utilizes with TURBO IMAGE and
VPLUS.
Configuration Data:
not available
Pricing Information:
Value priced by HP model starting at $1,750
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
Real Time Dist./Real Time Prod. Mgmt.
DBM802660000 ISV
David B. Murphy & Associates, Inc.
230 S. Holland St.
Lakewood, CO 80226
David B. Murphy (303) 233-3804
Industry:
Mfg/Discrete\All Discrete Manufacturers
Marketing, Sales & Service\Order Management
Mfg/Discrete\Distribution Management
Product Description:
RTD/RTP is an integrated computer system for the distributor and
discrete manufacturer. The system supports distribution order
management, inventory control and complete manufacturing operations.
Features include:
Distribution: Order Processing - Inventory Allocation -
Shipping/Invoicing - Accounts Receivable - Payment Analysis - Sales
Analysis - Serial Number Tracking.
Inventory Control: Demand Reporting - ABC Analysis - Stock Turn
Analysis - Excess Inventory - Purchasing Vendor Sources/Quotes - Vendor
Analysis.
Manufacturing: Bills of Material - Material Requirements Standard
Routings - Ship Travelers - Work Center Reporting - Performance Review -
Job Costing.
The system features a "forever" history accumulation limited only
by disk for analysis of sales, shipments, customers, inventory and
production detail performance. Report creation may be scheduled for
automatic periodic generation during the overnight process which
includes system-managed daily backups and history accumulations. This
software runs on the HP 9000/800 with HP Eloquence.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
Ruggedized X Stations and Workstations
MIN374660000 VAR
Modular Industrial Computers
6025 Lee Hwy. #340
Charranooga, TN 37421
FAX (615) 892-0000
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Aero/Defense/Govt Contract\All Aero/Defense/Govt Mfg
Mfg/Process\All Process Manufacturers
Product Description:
Modular Industrial Computers, Inc. (MIC) manufacturers ruggedized
X stations and workstations for the factory floor. Our extensive
product line includes rack, panel and stand alone National Electronics
Manufacturers Association (NEMA) certified systems using the following
HP technology:
* 700/RX PA RISC X Stations
* 9000 Series 800 Business Servers and Peripherals
* 742 rt, 745 i, 747 Industrial Workstations
* HP Apollo 700 Series Workstations
* Vectra Personal Computers and Peripherals
700/XX Series Video Display Terminals
MIC also supplies an HIL- PS/2 Converter which enables users of HP
workstations to interface up to seven (7) PS/2 compatible keyboards or
pointing devices to the Human Interface Link (HIL) of an HP workstation.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP VECTRA SCO-UNIX
HP3000 MPE
HP3000/900 MPE/iX
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mfg/Discrete
S/S/T Business Support System
STE100500200 VAR
Software Systems Tech. Inc.
305 Broadway 4th Fl.
New York, NY 10007
FAX (212) 233-4678
Industry:
Mfg/Discrete\Order Management
Wholesale Distribution\Durable Goods
Mfg/Discrete\Financial Accounting Systems
Product Description:
The SST business support system consists of seven modules which may
be purchased as a complete package or in smaller combinations. The form
like data entry screens feature highlighting, operator prompts,
extensive editing/validation and generous use of the function keys. The
system modules include:
Order Entry, Inventory, Purchasing, Sales Analysis, A/R, A/P, and
General Ledger the system interfaces to spreadsheets and Word/Text
Processing packages.
The reporting capabilities are extensive, and an Ad-hoc report
writer is integrated into the system. The system has multi-currency
capabilities.
Additional Information: FAX (212) 233-4678
Configuration Data:
HP Software Required: MPE-IV OR V, IMAGE, V/3000, QUERY
Other Software Required: Cognos" Powerhouse" Run-Time
Pricing Information:
Available upon request
System Information:
HP3000 MPE
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
SCG/3000 - Standard Cost Generation
DSF821410100 ISV
Dennis and Schwab Inc. (DSI)
17330 Newhope St. #A
Fountain Valley, CA 92708
Dennis Doi (714) 241-4550
Industry:
Mfg/Discrete\Standard Costing
Product Description:
The Standard Cost Generation (SCG) module provides maintenance and
generation of cost standards by bottom-up processing of material, labor,
overhead as defined by the Bill of Material and the Routings. Roll-over
from current cost to standard cost is executed upon demand for those
parts selected for costing. Selections may be made using a variety of
parameters.
SCG/3000 is written in COBOL II and utilizes both TURBO IMAGE and
VPLUS.
Configuration Data:
not available
Pricing Information:
$12,000 COBOL source code
System Information:
HP3000/900 MPE/iX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
SFP/3000 - Shop Floor Processing
DSF821410100 ISV
Dennis and Schwab Inc. (DSI)
17330 Newhope St. #A
Fountain Valley, CA 92708
Dennis Doi (714) 241-4550
Industry:
Mfg/Discrete\Shop Floor Control
Mfg/Discrete\All Discrete Manufacturers
Mfg/Discrete\Work In Progress
Product Description:
SFP/3000 aids in maintenance, processing and reporting of shop
orders; work-in-progress tracking records with added and deleted
operations; shop order step scheduling with backward and forward;
schedules generating critical ratio priorities; dispatch lists and shop
paper generated in standard or VAR coded formats as trunaround
documents. It also generates launched and planned capacity reports.
Capacity "What-If" views are generated on-line for new orders,
optionally labor and WIP status are generated on-line for distributioin
to job cost.
Configuration Data:
not available
Pricing Information:
Value priced by HP model starting ar $5,250
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
SIMAN
SMO151660000 ISV
Systems Modeling Corp.
The Park Blvd.
504 Beaver St.
Swickley, PA 15143
John Hammann (412) 741-3727
Industry:
Mfg/Discrete\Business Modeling/Simulation
Mfg/Discrete\All Discrete Manufacturers
Mfg/Discrete\Master Scheduling
Product Description:
SIMAN is a general-purpose simulation language specially designed
for modeling maufacturing systems. SIMAN has the capability to model
discrete or combined discrete and continuous systems using three
different modeling orientations. Users construct a model of their
system using SIMAN's block diagram format. SIMAN makes it easy to
construct a realistic and reliable model of a system before it is
implemented.
Special features include:
* Material handling construction include coveyors and transporters
such as fork lift trucks, AGV's and cranes.
* Extensive output processor offers graphical and statistical
output; graphics are available in bit-mapped format; help function is
provided.
* Interactive debugger gives the user real-time control over the
execution of the simulation, facilities logical error tracking, and
allows variables to be changed during execution.
* Macro sub-model capability allows large job shops to be modeled
as easily as a two-machine shop.
* Logical modeling framework decomposes modeling into a model and
experiment frame.
* User-written FORTRAN and C subroutines can be combined with the
block diagram model.
* Run-time memory allocation allows large models to be constructed
without redefining arrays.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
SIMAN/Cinema
SMO151660000 ISV
Systems Modeling Corp.
The Park Blvd.
504 Beaver St.
Swickley, PA 15143
John Hammann (412) 741-3727
Industry:
Mfg/Discrete\Business Modeling/Simulation
Mfg/Discrete\All Discrete Manufacturers
Mfg/Discrete\Master Scheduling
Product Description:
Cinema is a software system for simulation with animation. The
system enables users to create dynamic animations of system simulations
developed with the SIMAN language. Cinema offers outstanding graphics
capabilities and is easy to learn and use. Its flexibility is exhibited
by the many graphics drawing functions available, a palette of 4,096
vivid colors, and user-defined symbols for resources, entities, and
variables. System features include: The proven SIMAN simulation
language; high-resolution bit-mapped graphics output; Easy-to-use
interface composed of a mouse and pull-down menus; immediate on-line
help documentation on every function; animation can be stopped and
restarted, and snapshots can be saved for later recall; user can zoom
into and pan around the layout while the animation is running. Cinema
is used in universities to teach simulation and is also used extensively
by researchers in analyzing manufacturing systems. Complete training is
also available to facility members. SIMAN is a general-purpose
simulation language especially designed for modelling manufacturing
systems. SIMAN has the capability to model discrete or combined,
discrete and continuous systems using three different modelling
orientations. Users construct a model of their system using SIMAN's
block diagram format. SIMAN makes it easy to construct a realistic and
reliable model of a system before it is implemented. Special features
include: Material handling contruction include conveyors and
transporters; Extensive output processor offers graphical and
statistical output; graphics are available in bit-mapped. Extensive
training is also available for SIMAN.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
SL/CLAS
PCO117500100 VAR
Peripheral Software Concepts, Inc.
620 Johnson Ave.
Bohemia, NY 11716-2622
James M. Finnessey (516) 563-7000
Industry:
Mfg/Discrete\Capacity Requirements Planning
Mfg/Aero/Defense/Govt Contract\Capacity Requirements Planning
Mfg/Aero/Defense/Govt Contract\Shop Floor Control
Product Description:
SL/CLAS is a real-time finite capacity production scheduling system
for manufacturers. It enables the user to leverage his investment in
MRPII by providing detailed finite production schedules for the shop
floor which are driven by the MRPII requirements.
Using state-of-the-art client/server architecture, SL/CLAS is the
only production planning and scheduling system that is fully integrated
with your existing MRPII system and that actually functions as an
extension of MRPII.
SL/CLAS uses as its server manufacturing information and current
production requirements contained in your MRPII system. Thus, unlike
other finite capacity schedulers that only simulated production plans,
SL/CLAS generates schedules that reflect the actual production
requirements of your organization as defined by MRPII. The result is a
production schedule that respects the finite capability of each resource
and is, therefore, achievable.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/800 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
SLAM/TESS
PRI479500100 ISV
Pritsker Corporation
8910 Purdue Rd. #500
Indianapolis, IN 46268
Fax (317) 471-6525
Industry:
Mfg/Discrete\Business Modeling/Simulation
Mfg/Support\Factory/Plant Automation
Mfg/Support\Production Rate Control
Product Description:
SLAM/TESS is a total simulation project support for workstations
and multi-user systems.
SLAM/TESS provides data management capabilities and increases the
user's ability to effectively perform in-depth analyses. The product
uses graphical interfaces for model development, analysis, and
presentation. It offers the flexibility and power to build models to
any level of detail required.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
SLAMSYSTEM
PRI479500100 ISV
Pritsker Corporation
8910 Purdue Rd. #500
Indianapolis, IN 46268
Fax (317) 471-6525
Industry:
Mfg/Discrete\Business Modeling/Simulation
Mfg/Discrete\All Discrete Manufacturers
Product Description:
SLAMSYSTEM: Total Simulation Project Support for Use with Advanced
Personal Computers.
SLAMSYSTEM is the first fully integrated simualtion system for
advanced personal computers. All features are available through a
Microsoft Windows of Presentation Manager interface. An entire
simulation project, from model building to analysis and results
presentation, can be completed without ever leaving the system. The
software runs under the MS/DOS or OS/2 operating systems.
Configuration Data:
DOS 3.1 or higher; 40 Mb Hard Drive; 3 Mb RAM; VGA Color Graphics
Display & Adapter; Windows Compatible Mouse; Hi Density Floppy Disk
Drive; M/S Windows/386 v 2.1; M/S FORTRAN v 4.1 Math Co-Processor;
Windows Compatible Graphic Plotter or Printer
Pricing Information:
$18,000
System Information:
HP VECTRA MS-DOS
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
SMP-81
MME550400000 DAR
Merry Mechanization
1068 South Lake St. #5
Forest Lake, MN 55025
Cris Merry (612) 464-8910
Industry:
Mfg/Discrete\Numeric Control
Mfg/Custom\Numeric Control
Mfg / Ind Specific Solutions\Fabricated Metal Products Mfg
Product Description:
SMP-81 is the complete CAD/CAM software solution for transforming
sheet metal part designs, either designed in SMP-81 or transferred from
2D or 3D, CAD, into data for driving the manufacturing process quickly
and efficiently. This program models sheet metal parts in their 3D
formed state, automatically calculates and dimensions flat layouts, and
visually programs NC punching, laser, nesting, and brake forming
operations.
SMP-81 meets the needs of all sheet metal fabricators through the
following capabilities:
* 2D and 3D CAD interfacing
* Formed Part Modeler
* 100% accurate flat layouts
* Flat to Form
* AutoNest
* AutoPunch
* Associativity
* TRB
* Brake Forming Simulation
Configuration Data:
not available
Pricing Information:
Software Solutions Starting at $6,500.00
System Information:
HP9000/300 HP-UX
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
SPARKS
TCLM5H660000 ISV
The Coopers & Lybrand Consulting Group
145 King Street W.
Toronto, Ontario
Canada M5H 1V8
FAX (416) 863-0926
Industry:
Mfg/Discrete\Business Modeling/Simulation
Office Automation\Decision Support Systems
Product Description:
SPARKS is a knowledge-based process modelling and simulation tool
specifically designed to facilitate Process Design and re-engineering
and enhance productivity and Quality Improvement programs.
The Process Simulator is used to construct a computer-based model
of the process workflows, human and machine resources, work volumes, and
operational management decision rules. This model is then used to
simulate the dynamic behavioral characteristics of the process resulting
in productivity, quality ad cost mesurements, such as:
* Resource requirements and utilizations
* Per unit costs
* Internal and external error rates
* Processing and elapsed time
* Number of transactions and backlogs
SPARKS embodies essential Just-In-Time, quality and continuous
improvement principles to enable analysis on a "What-If" basis of key
performance and cost molicators.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
STRATA
STE803660000 Complimentary Vendor
Spatial Technology Inc.
2425 - 55th St. Bldg. A
Boulder, CO 80301
FAX (303) 440-9762
Industry:
Mfg/Discrete\Computer-Aided Manufacturing
Mfg/Aero/Defense/Govt Contract\Computer-Aided Manufacturing
Mechanical Engineering\Solids Modeling
Product Description:
STRATA ia a new generation, solid geometry, features-based
manufacturing and design system. Automating the generation of numerical
control CL directly from a solid model, STRATA's knowledge base
incorporates user-defined tool, method, machine, and rule data files to
provide a success manufacturing solution. Operation strategies and
processing stages can be immediately verified and documented as material
being removed is graphically displayed and material remaining is clearly
presented to the user.
STRATA provides functionality far beyond conventional CAD/CAM
systems in allowing for solid model classification and feature
definition. Cutter behavior recognizes stock, part, and fixture solids
to create efficiently optimized tool path information. Other features
include unlimited undo and redo, auto-journalizing, integrated NURBS
surface and solid database, attribute migration, inherent collision
checking, tool and delta volumes, and current-state visualization.
A direct "solid-to-solid" translator for HP ME30 users is available
along with IGES input format support. STRATA uses the ACIS Geometric
Modeler for exact solid geometry.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
SYMIX
MIN432400000 Premier VAR
Symix Computer Systems, Inc.
2800 Corporate Exchange Dr.
Columbus, OH 43231
Fax (614) 895-2504
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Custom\All Custom Manufacturers
Mfg/Discrete\MRPII Systems
Product Description:
The SYMIX Solution is a fully integrated manufacturing control and
financial management software solution designed for the needs of
manufacturers who operate in make-to-order, make-to-stock,
assemble-to-order, engineer-to-order, and hybrid environments. The
functionality of The SYMIX Solution includes: Order
Management-automatic credit checking, blanket orders, options order
entry, time-phase allocations, progressive billing.
Material Management - standard routings, BOMs, cost
methods-standard and actual, multiple warehouse and stockrooms, physical
inventory, cycle counting. ECNs, master production scheduling,
unlimited planning horizon, net-change or regen. MRP, on-line MRP
outputs, firm planned orders, JIT principles.
Production Management - infinite, finite, forward, and backward
scheduling, what-if scheduling, capacity requirements planning,
overlapping operations, work center dispatching, job tracking and
costing, sub-contracting capability, labor efficiency, payroll
interface, rough-cut capacity planning.
Financial Management - General Ledger, Accounts Payable, Accounts
Receivable, Fixed Assets, Multi-Currency, Multi- Division, Multi-Country
Taxation Requirement.
Configuration Data:
not available
Pricing Information:
User based pricing; Available upon request
System Information:
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
FRD Referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
Sales Analysis-Order Entry Sys-MAP/3000
IDI943500100 ISV
INTERTEC Diversified Systems, Inc.
150 Grant Ave.
Palo Alto, CA 94306
FAX (415) 326-0579
Industry:
Mfg/Discrete\All Discrete Manufacturers
Product Description:
General Purpose, HP Specific , Integrated Manufacturing Sales
Analysis-Order Entry and Net Change MRP II in COBOL II. MAP/3000 is a
General Purpose Integrated Manufacturing System with Sales
Analysis-Order Entry for the HP 3000 marketplace. It has the following
modules: Order Entry, Sales Analysis, Commission Tracking.
Features:
Human Engineered Screens - Comprehensive User Documentation -
Multi-Level Security - Complete Audit Trails - Full Database Logging -
Menu Driven - COBOL II Programming Language - Batch program initiator -
Single Database Design - Net Change MRP II - On-line/Real Time - IMAGE
Database - Available in Source Code - Completely Interactive - and
Worldwide Support. Integrated Inventory, Shop Floor, Financial
Accounting Modules are also available, see our listing under MAP/3000.
Toll Free Numbers: U.S. (800) 872-7897 or CA (800) 872-7979.
Configuration Data:
not available
Pricing Information:
$500 to $1500 per module
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
Sales History (MCBA)
CIN787500200 DAR
Commercial Information Systems, Inc.
3918 Caney Creek Rd.
Austin, TX 78732-2214
Mark Butterfield (512) 266-9066
Industry:
Mfg/Discrete\Management Reporting
Wholesale Distribution\Durable Goods
Product Description:
Integrated on-line sales analysis system with optional interface to
Hewlett-Packard Computer Graphics.
MCBA's Sales History:
* Provides speedy, convenient data access through windowing. Up to
16 look-up windows may be active at any given time.
* Through the use of user-defined "application codes" the user may
by-pass the menu-driven system and transfer between MCBA applications or
branch out of an application to another application and return to
exactly where he was in the first application.
* Provides extensive on-line help.
* Reports period-to-date and year-to-date sales and costs in nine
formats with percentage analysis, subtotals, and summaries.
* Prints Sales comparison reports showing item sales in any period
compared to the same period in the previous year, by product, customer,
product within category, and by within customer within salesman.
* Prints detailed history reports showing all invoice data related
to each line item sorted by customer, salesman, state, product category,
and by invoice date.
* Obtains data automatically when invoices are printed, and
optionally allows manual entry.
Interfaces with MCBA's Accounts Receivable (AR) and Customer Order
Processing (COP) packages.
Configuration Data:
not available
Pricing Information:
Available upon request.
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
Sherpa DMS, PIM Series
SHE951400000 Premier ISV
Sherpa Corporation
611 River Oaks Pkwy.
San Jose, CA 95134
Fax (408) 943-9507
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Aero/Defense/Govt Contract\All Aero/Defense/Govt Mfg
Mechanical Engineering\Mechanical Design
Product Description:
Sherpa's Product Information Management system gives gives
manufacturers control of product information across the entire product
introduction and engineering change spectrum - from initial design,
thourgh revision, testing, approval, and release to manufacture.
Sherpa/PIMS supports broad strategic initiatives in the areas of
concurrent engineering, total quality assurance, and reduced
time-to-market by providing applications in:
* Data Management Control
* Engineering Release Management
* Configuration Management
* Engineering Change Control
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/400 DOMAIN
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
Shop Floor Control (SFC)
MCB910500100 ISV
MCBA, Inc.
330 N. Brand #700
Glendale, CA 91203
FAX (818) 500-4805
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Custom\Shop Floor Control
Mfg/Discrete\Labor Reporting
Product Description:
* Helps manufacturers plan, schedule and evaluate the flow of work
on the shop floor.
* Saves time by allowing "base" shop orders from which actual shop
orders can be copied.
* Captures the specific materials and production plan for a shop
order by allowing "shop bill" and "shop routings".
* Anticipates shortages of materials for any given job, in advance
of the shortage.
* Allows trial material allocation and inquiries through the
terminal.
* Supports a customized shop calendar that matches the existing
shop schedule.
* Provides forward, backward, and manual scheduling of shop orders.
* Supports overlapped operations.
* Prints a material picking list for selected operations.
* Prints a dispatch list of work for each work center, day by day.
* Provides exception reporting to highlight problem areas in the
shop.
Configuration Data:
not available
Pricing Information:
$6,000 - $9,000
System Information:
HP3000 MPE
HP3000/900 MPE/iX
HP9000/800 HP-UX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
Shop Floor Control System - MAP/3000
IDI943500100 ISV
INTERTEC Diversified Systems, Inc.
150 Grant Ave.
Palo Alto, CA 94306
FAX (415) 326-0579
Industry:
Mfg/Discrete\Shop Floor Control
Mfg/Discrete\All Discrete Manufacturers
Mfg/Discrete\MRPII Systems
Product Description:
General purpose, H.P. specific, integrated manufacturing with Shop
Floor Control and net change MRPII, in COBOL II. MAP/3000 is a general
purpose, manufacturing system with Shop Floor Control for the HP 3000
marketplace.
It has the following modules:
Order Entry, Purchase Order, Inventory Control, Engineering
Control, Work Order, MRP, Shop Floor Control, and Capacity Requirements.
And the following features:
Human Engineered Screens. * 100% machine generated documentation.
* Multi-level security to the field level. * Complete audit trails. *
Full database logging. * Menu driven. * COBOL II programming
languages. * Batch program initiator. * Single database design. * Net
Change MRPII. * On-line/Real time. * IMAGE database. * Provided in
Source Code. * Completely interactive. * Worldwide support through
authorized dealers.
Integrated Accounting modules are also available, see our listing
under MAP/3000.
Additional Comment: Toll Free Numbers: US - (800) 872-7997 and
California (800) 872-7979
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
Shop Floor Express
ECD074660000 ISV
Eagle Consulting & Development Corp.
170 Kinnelon Rd. #3
Kinnelon, NJ 07405
Fax (201) 494-2758
Industry:
Mfg/Discrete\Shop Floor Control
Mfg/Process\Maintenance Management
Mfg/Support\Factory/Plant Automation
Product Description:
Shop Floor Express provides manufacturers with 100% on-line
paperless transactions directly from the shop-floor into their HP 3000
based manufacturing systems.
Shop Floor Express integrating directly with Manufacturing Express
eliminates labor intensive/error prone handwritten data collection
documents, tedious/error prone document transcriptions, and untimely
error prone rekeying of manufacturing information.
Providing 100% on-line interactive entry of manufacturing
distribution, quality, labor, and production performance data
Manufacturing Express and Shop Floor Express work stations capture
performance data in an efficient, timely, and highly accurate manner by
using the integral bar code and magnetic strip slot reader as well as
direct cabled bar wand and scanning guns. The system acts in a
proactive prevention mode rather than in the costly traditional reactive
error detection and correction mode.
Transactions:
* Open network
* Display terminal
* Read terminal/wand/slot/function keys
* Close network
Configuration Data:
not available
Pricing Information:
$6,700.00
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
SmartCAM CNC Process Modelling
BBL302660000 DAR
Building Blocks, Inc.
3615 Goldenrod Dr.
Alpharetta, GA 30202
Fax (404) 475-8470
Industry:
Mfg/Discrete\Computer-Aided Manufacturing
Education/Colleges\Computer-Aided Instruction
Product Description:
SmartCAM is an interactive graphics-based system, that permits the
user to model their CNC manufacturing process. This simulation helps to
innovate the manufacturing process and improves quality and
productivity.
SmartCAM is also used to create CNC programs for virtually any CNC
machine including mills, lathes, routers, punch presses, lasers, water
jets, etc.
SmartCAM's open architecture design allows it to be integrated with
any industry standard CAD system. CAD geometry can be quickly changed
to Toolpath for modelling and programming purposes. Modules include:
Milling, turning, fabrication, four axis wire EDM, advanced 30
machining, advanced turning, advanced fabrication.
Configuration Data:
not available
Pricing Information:
Production products (milling, turning, fabrication) $4,000
Advanced application products $10,000
System Information:
HP VECTRA MS-DOS
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
Spatial Integrator
G5TT5L660000 ISV
Kanotech Information Systems Ltd.
13245 - 146th St. #105
Edmonton, Alberta
Canada T5L 458
FAX (403) 452-4183
Industry:
Mfg/Discrete\Computer-Aided Manufacturing
Mfg/Aero/Defense/Govt Contract\Computer-Aided Manufacturing
Product Description:
Linkage based GIS product integrated with Autocad
Configuration Data:
not available
Pricing Information:
$4500 U.S.
System Information:
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mfg/Discrete
Striped Lightning
PCO117500100 VAR
Peripheral Software Concepts, Inc.
620 Johnson Ave.
Bohemia, NY 11716-2622
James M. Finnessey (516) 563-7000
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Aero/Defense/Govt Contract\All Aero/Defense/Govt Mfg
Mfg/Custom\All Custom Manufacturers
Product Description:
Peripheral Software Concepts is the leading developer and supplier
of on-line, real-time manufacturing shop floor data collection and
management systems. Our Comprehensive product family, Striped Lightning
comprises the following applications:
* Time and Attendance
* Pre-Payroll Processing
* Labor Reporting
* Inventory Control via Hand-Held Devices
* Serialized WIP Tracking
* Group Work Center Reporting
* Repetitive Labor Reporting
* Factory Time Synchronization
* Secured Area Access Control
* Manufacturing Subsystem
* Finite Capacity Scheduling
Striped Lightning Applications provide an interface between major
host-based MRPII (manufacturing resource planning) systems and variety
of bar code data collection devices. Striped Lightning enables
manufacturing management to achieve on-line, real-time shop floor
monitoring and control of materials and production.
Configuration Data:
not available
Pricing Information:
Variable based on either number users or CPU
System Information:
HP3000 MPE
HP3000/900 MPE/iX
HP9000/700 HP-UX
S/10000 DOMAIN
S/2500 DOMAIN
S/3500 DOMAIN
S/4500 DOMAIN
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
Symix Manufacturing Solution
TLC543660000 DAR
The Lake Companies, Inc.
2301 Riverside Dr.
Green Bay, WI 54301
Gregory Lake (414) 435-2667
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Discrete\Financial Accounting Systems
Mfg/Discrete\MRPII Systems
Product Description:
The Symix Enterprise Solution and The Symix System are completely
integrated manufacturing control and accounting systems design
especially for the needs of make-to-order, make-to-stock, combination
and job shop manufacturers. This user-customizable MRP II solution
provides the information needed to manage an entire manufacturing
business environment. The 18 modules can be used individually or in
combinations and can be tailored to best reflect the customer's
manufacturing environment w/o extensive programming. The modules
provided include: General Ledger, Accts. Rec., Accts. Payable, Payroll,
Time/Attendance Data Collection, Fixed Assets, Inventory Control, Inv.
Control Data Collection, Engineering Change Notice, Order Entry, Product
Configurator, Purchasing, Shop Floor Control, Shop Floor Data
Collection, Adv. Manufacturing/MRP, AutoCAD Interface, EDI Interface and
Expert Systems Interface. The system is fully supported by service and
support including customer training, programming, consulting and
implementation services, on-going support, newsletters, product updates
and users group.
Configuration Data:
not available
Pricing Information:
Available upon request - custom quotes
System Information:
9000/1200 HP-UX
HP VECTRA MS-DOS
HP VECTRA SCO-UNIX
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mfg/Discrete
System Z Alpha Z
AOM605660000 ISV
Alpha Omega Systems
1650 S. Lewis St.
Anahiem, CA 92805
FAX (714) 939-7788
Industry:
Mfg/Discrete\All Discrete Manufacturers
Application Dev./Software Eng.\Conversion Aids
Distribution for Manufacturers\Durable Goods
Product Description:
System Z is a 4GL Application Generator query language and report
writer that allows object code portability. It's metadictionary allows
access to non-relatonal and relational database engines providing
coexistance with current software. System Z offers migration tools to
correct 3 GL's portability Cobol and Mapper to the System Z 4GL.
Alpha Z product line consist of 3 systems distribution, financial
(A/R - A/P - G/L) and manufacturers focused to job shop systems.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP VECTRA SCO-UNIX
HP3000/900 MPE/iX
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
TECSYS Elite Manufacturing Series
TECH4S660000 ISV
TECSYS, Inc.
9305 Trans-Canada Highway
Saint-Laurent, Quebec
Canada H4S 1V3
FAX (514) 337-0479
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Custom\All Custom Manufacturers
Mfg/Process\All Process Manufacturers
Product Description:
TECSYS Elite Manufacturing is an extension of the Distribution
Series. It improves turnaround time and delivers both estimated and
actual costing, even though the information to compute actuals may not
arrive until after goods are shipped. This package is ideal for both
assembly and process-type manufacturing. The modules include: Bills
and Kits, Work Orders, Job costing with Enhanced Project Management, and
Process Costing. Selected features include: make-to-stock or
make-to-order, multiple component types, automatic cost updating, where
used and production availability inquiry, labor and machine time, stock
issued updated on-line, cost by machine type or by labor type,
by-product tracking, component availability, budgets by job, budgets by
phase, warrenty allowant allowances, links to purchase orders, work
orders and time sheet entry, after-the-fact cost reconciliation to
handle delayed supplier paperwork, mixed-in product and weight
validation, attended, semi-attended, and unattended processes, overhead
factors, and full production history. Written in 100% Informix-4GL.
Full source code is available.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA SCO-UNIX
HP9000/300 HP-UX
HP9000/400 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mfg/Discrete
TMS Total Maintenance System
TIT156660000 ISV
Four Rivers Software Systems, Inc.
One Titan Plaza
275 Center Rd.
Pittsburgh, PA 15146
Henry Wilde (412) 537-7447
Industry:
Mfg/Discrete\Maintenance Management
Mfg/Process\Maintenance Management
Medical/Health\Hospital Equipment Maintenance
Product Description:
Total Maintenance System (TMS) is a fully integrated plant and
facilities maintenance and inventory management system. As a tool for
managing maintenance operations TMS allows you to:
* Generate and account for preventive, corrective and project
related work and purchase orders.
* Plan and schedule work based on labor resource availability.
Troubleshoot failure modes, analyze failure/solution patterns,
trend condition based parameters (predictive maintenance data) and
identify problem plaqued equipment. Standard reports and lists are
available in addition to customized report and analyses on any one or
combination of over 150 database elements. The format (look) of reports
and forms is completely user definable. TMS is a tool for managing
parts, supplies and outside services associated with maintenance
operation. Material requirements can be forecasted. Purchasing parts
and supplies can be manually performed or totally automated. A wide
array of standard and custom reports, analyses and lists can be created.
special, unique TMS features include bar coded data entry; capability to
display graphic images; and Auto-Op which permits up to 3 TMS processes
performed from a single work station.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP VECTRA MS-DOS
HP9000/300 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
TRANS4M
CST495660000 Premier ISV
CMI Competitive Solutions Inc.
3940 Peninsular Dr. S.E. #100
Grand Rapids, MI 49546
FAX (616) 957-3924
Industry:
Mfg/Discrete\MRPII Systems
Mfg/Discrete\Production Management
Mfg/Discrete\Release Accounting
Product Description:
CMI-Competitive Solutions, Inc., offers a single source software
solution developed specifically for automotive suppliers. TRANS4M
incorporates 35 modules to provide complete MRP II functionality with
integrated EDI/Automotive Release Control, Repetitive Manufacturing,
Financial and Shop Floor applications. TRANS4M utilizes the Unidata
RDBMS and also includes an interface to the Cognet General Ledger from
Cogent Information Systems, Inc.
Integrated modules include:
Bill of Material, Shop Calendar, Inventory Control, Master
Schedule, MRP, Production Execution, Routings, CRP, Labor Reporting,
Co-Parent Processing, Level Load, KANBAN, Mfg. Perf. Reporting, Product
Costing, Inventory Management, Quotation Management, Order Entry,
Billing, Retro-Billing, Accounts Receivable , Sales Analysis, Accounts
Payable, Purchasing, Automotive Release Control, Repetitive Purchasing,
Supplier Performance, Payroll & Labor Distribution, Customer Contact,
Standard Procedures, Shipping/Receiving, Open Radio Frequency, Data
Collection, General Ledger, Currency Conversion, and Standard Utilities,
Additional support services include implementation assistance,
consulting, training (on or off site), telephone customer support, and
custom coding.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/800 HP-UX
Product Type:
FRD Referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
TRITON
STRL4W660000 VAR
Strohn Systems Inc.
2560 Matheson Blvd. E.
Mississauga, Ontario
Canada L4W 4Y9
FAX (416) 602-7342
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Custom\All Custom Manufacturers
Mfg/Process\All Process Manufacturers
Product Description:
TRITON is an integrated suite of software modules that provide
information management utilities in the areas of: Distribution,
Manufacturing, Service & Maintenance and Finance. A unique TRITON
solution can be assembled from a library of TRITON modules, then
customized to suit the specific needs of an organization using TRITON
Tools, its own 4GL development tool kit. The system runs under HP-UX,
and supports a variety of relational databases including: Oracle,
Informix, On-line, Ingres, and its own TRITON RDBMS. Sysbase support is
anticipated by mid 1993. TRITON supports multiple languages and
currencies making it an ideal system for organizations involved in
international trade or with facilities located around the globe. TRITON
modules include: Sales and Purchase Control - Materials Requirements
Planning - Inventory Control - Capacity Requirements Planning - Lot and
Location Control - Master Production Scheduling - Quotations - Project
Control - Product Configuration - Service and Maintenance - Production
Planning & Control - and Financial Management.
TRITON supports a variety of manufacturing environments including
Make to Stock, Assemble to Order, Make to Order, Engineer to order or
any hybird combination of these environments. TRITON's unique Product
Congfigurator module enables client specific products to be built at the
Sales Order entry point. Using a generic Bill of Material to configure
the product, proposed assembly is checked against predefined constraints
for validation before the order is processed. This is ideal for
organizations who manufacture or distribute products to customer
specifications. Furture releases of TRITON will include DRP support and
enhancements for Batch Processing.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mfg/Discrete
TXbase
TXB194660000 ISV
TXbase Systems, Inc.
PO Box 179 Toomey Bldg.
Pottstown Pike
Eagle, PA 19480-0179
Fax (215) 458-5387
Industry:
Mfg/Discrete\All Discrete Manufacturers
Accounting\Multifunctional Accounting Pkg
Mfg/Custom\Maintenance Management
Product Description:
Client/Server Architecture enables TXbase to run on one or more
CPUs deployed across a network. Open Systems Technology incorporating
20 fully integrated modules:
Inventory Management - Lot/Serial Number Tracking - Multi- Plant
MPS - MRP - Engineering Change Control - Bills of Material -
Manufacturing Engineering - Work Order Management - Shop Floor Reporting
- AP, AR, GL - DRP with Forecasting - Rough Cut Capabity - CRP - Fixed
Assets - Purchasing - Scheduling - Project & Cost Accounting - Sales &
RMA Processing.
Exclusively built on the SYBASE SQL RDBMS platform. CASE, 4GL and
Version Control tools allow customization of the stored procedures and
triggers to adapt the product to meet present and future needs. Pull
Down and Slide Off menus are mouse or function key driven. Lookup
windows, multi-level "drill down" plus compound wild card queries makes
it easy to find, select, and view data. User modifiable Pop Up Help.
TIPS - TXbase Implementation Partnership Strategies provides complete
support services to ensure the successful implementation of your
enterprise wide solution.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
The INTRIX Manufacturing System
IGR958660000 ISV
INTRIX Systems Group, Inc.
1214 N. Market Blvd.
Sacramento, CA 95834
Fax (916) 928-0279
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Process\All Process Manufacturers
Mfg/Discrete\Distribution Management
Product Description:
The INTRIX Manufacturing System is an interactive,
fully-integrated, PROGRESS-4FL/RDBMS-based manufacturing solution
providing the information required to manage an entire manufacturing
business environment. Strong multi-plant and multi-company capabilities
supports discrete repetitive and process operations. Source code is
available for all application modules for matching customer need to
system performance without extensive modification. CASE Tools and
utilities streamline programming efforts.
Available modules/systems include:
Continuous Flow Manufacturing - JIT/Repetitive Manufacturing - Bill
of Material and Routings - MRP - Production Activity Control - Order
Processing - Inventory Management - Counter Sale - Financial Management
(GL/AR/AP/Fixed Assets/Payroll).
Easy-to-use features include; on-line transaction menus with the
ability to skip directly to screens; on-line database review and update;
thorough documentation. Fully supported by a national network of branch
offices and authorized resellers providing training, consulting,
implementation, and software support.
Configuration Data:
not available
Pricing Information:
Varies by machines class
System Information:
HP VECTRA SCO-UNIX
HP9000/300 HP-UX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
The MADIC System
MCO926660000 Premier VAR
Madic-Compufact Corporation
7441 Lincoln Wy. #200
Garden Grove, CA 92641
FAX (714) 897-7616
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Support\Manufacturing Information Sys.
Mfg / Ind Specific Solutions\Instrument Manufacturing
Product Description:
The MADIC System, first introduced to the marketplace in 1973, is
currently sold primarily to discrete, repetitive and multi-plant
manufacturing companies. It is made up of six subsystems and 21
modules:
Core Manufacturing System - General System Parameters - Inventory.
Lot Control - Bills of Material - Work in Process - Purchasing -
MRP/Master Scheduling.
Advanced Manufacturing System - Configuration Control - Plant
Maintenance - Resource Planning - Quality Assurance - Project Control.
Decision Support System - Corporate planning - Management Reporting
- Key Operating Statistics.
General Accounting Systems - Accounts Payable - Accounts Receivable
- General Ledger - Fixed Assets - Cost Accounting - Payroll.
Distribution System - Marketing (Sales Orders/Forecasting Price
Lists) - Field Service (Serial Number Control) - Human Resources -
Personnel Management (Employee Information).
Unique Features: FDA Lot Control, Serial Number Control,
Multi-Plant Capabilities.
Configuration Data:
Software: UNIVERSE
Pricing Information:
Available upon request
System Information:
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
ToolChest
PTE430660000 DAR
TC Dynamics, Inc.
4995 Bradenton Ave. #100
Dublin, OH 43017
Herb White (614) 791-8282
Industry:
Mfg/Discrete\Computer-Aided Manufacturing
Mfg/Aero/Defense/Govt Contract\Numeric Control
Mfg/Custom\Numeric Control
Product Description:
Design Tool - creates 3-D models of any shape from simple geometry
to complex design including splines, Bezier curves and many surface
types. Complex surfaces can be trimmed, filleted and blended. You can
use multiple colors, zoom, pan, rotate, layer, mirror, transform, copy,
instance, and chain.
Machining Tool - accesses the database and generates NC cutter
path. Interference checking is automatic and you have instant access to
a wide varitey of machining methods and tool geometries. If the part
design changes, ToolChest can automatically regenerate a new NC program
from the associative database...saving time and money.
Data Tool - handles information in the IGES (Intial Graphics
Exchanage Specification) format and others used in the Automotive and
Aerospace Industries. We can also assist with conversion programs if
access to other formats is required.
Link Tool - transfers part programs, including block-by-block data,
back and forth to your controllers via Direct Numerical Control (DNC).
Picture Tool - lets you visualize the finished product. By
adjusting shading, you can see surface irregularities that can be
corrected prior to producing the part, mold, or die. Also, a
color-shaded picture produced from the NC program will show the "as-cut"
part.
Draft Tool - creates mechanical drawings and placement and editing
of dimensions, annotations, and tolerances.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/400 DOMAIN
HP9000/400 HP-UX
HP9000/700 DOMAIN
HP9000/700 HP-UX
S/3500 DOMAIN
S/4500 DOMAIN
S/5500 DOMAIN
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
ULTRACAM
CAM554660000 Premier VAR
CAMAX Systems, Inc.
7851 Metro Pkwy.
Minneapolis, MN 55425-1528
FAX (612) 854-6644
Industry:
Mfg/Discrete
Mfg/Custom
Mfg / Ind Specific Solutions
Product Description:
ULTRACAM is an economical package Numerical Control programming
systen that generates programs for 2 to 3 axis (simultaneous motion) CNC
machine tools, providing support for 4th or 5th positioning axis. It is
typically used in manufacturing semi-complex parts, molds and dies
requiring machining of sculpted shaped. The system's NC programming,
dynamic machine tools simulation (with collision detection), and NC
verification are supported by a NURBS surface modeler with visual
verification features like shading, dynamic rotation, pan and zoom.
Modeling functions enable users to complete or modify imported solid,
surface or wireframe models for reliable NC manufacturing. The system
also allows users to automate repetitive modeling and NC programming
functions.
ULTRACAM imports geometry from most systems via IGES, VDS-FS, DXF,
and Big Three automotive translators, as well as interfaces to PE/Solid
Designer, PE/ME 10 and ME 30. It also includes a universal
postprocessor, machine-tool simulator with collision-detection,
rapid-prototyping interface, and module for 4-axis wire EDM. The NC
module generates toolpaths across multiple trimmed surfaces with planar
or parallel-plane machining, pocketing and profiling. Also provides
graphical toolpath editing of NC programs using up to 3 axes in
simultaneous motion. CNC machine tools supported include mill-turn
machines, machining centers, tuning centers, lasers, lathes, mills, and
wire EDM. Upgrade path to CAMAX CAMAND.
Configuration Data:
not available
Pricing Information:
Packages are $11,500 or $19,500 first seat. Wire EDM module
optional. Multiple-seat descounts available.
System Information:
HP9000/400 HP-UX
HP9000/700 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
Universal Dynamics Ltd.
UDYV6J660000 DAR
Universal Dynamics Ltd.
900 - 1441 Creekside Dr.
Vancouver, British Columbia
Canada V6J 4V3
Malcolm Cameron (604) 736-3381
Industry:
Mfg/Discrete\Shop Floor Control
Mfg/Discrete\Manufacturing MIS
Product Description:
Workstations running MMI software, usually packaged
Systems integration as well
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
V.S.S. Value Added Systems Inc.
VASL5L660000 DAR
V.A.S. Value Added Systems Inc.
3065 Ridgeway Dr. #33
Mississauga, Ontario
Canada L5L 5M6
FAX (416) 828-9740
Industry:
Mfg/Discrete\Computer-Aided Manufacturing
Data Communications\Distributed Network Comm.
Systems Integrators
Product Description:
Network integration of multiple system, multi-vendor environments.
Document managed and system management solutions.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/300 MPE/iX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
VOCOS Order Management
VAD148500100 VAR
VOCOS, A Div. of Dean-Michaels Corp.
281-A New Turnpike
New Berlin, NY 13411
Fax (607) 847-8718
Industry:
Mfg/Discrete\Order Management
Mfg/Custom\Order Management
Mfg/Process\Order Management
Product Description:
"Powerhouse" developed customer Order Processing system that offers
the option of scheduling filling and shipment of orders.
VOCOS Order Management will maintain customer records, shipping
records, sales commissions, and of course, product sales records. VOCOS
Order Management can be used as independent module or as part of the
totally integrated VOCOS Accounting System. Products on order and items
required can be reported. Invoices and/or quotes with shipping, taxes,
handling and other miscellaneous charges are generated. The user can
create ad hoc reports at any time and in almost any fashion.
Configuration Data:
not available
Pricing Information:
Available upon request.
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
FRD referenced
------------------------- Start of Article -----------------------------
Mfg/Discrete
Varnet
CCI063660000 DAR
CCi Inc.
314 Flanders Rd.
East Lyme, CT 06333
Fax (203) 739-8307
Industry:
Mfg/Discrete\MRPII Systems
Personnel Management\Payroll
Mfg/Support\Distribution Systems
Product Description:
The objective of Varnet's Enterprise Solution is to ensure that all
areas of your business are working together in harmony. The highly
acclaimed PROGRESS Fourth Generation Language (4GL) and Relational
Database Management System (RDBMS) provides the foundation of our
Enterprise Solution. All of Varnet's Enterprise Solution modules run on
every standard operating system--including UNIX, OS/400, VMS, and LANs
(DOS, OS/2 and Windows)--and over 400 hardware platforms. This
combination of products provides total integration and flexibility for
any size company, not only for today, but for the future of your
company.
The following 17 modules make up Varnet's Enterprise Solution.
General Ledger - FieldForce Service Management - Accounts Payable -
Lead Tracking - Accounts Receivable - Production Planning - fixed
Assets - Bill of Materials Payroll - Production Activity -Job shop -
Human Resources - Inventory Management - Total Quality Managment
Purchase Orders -Total Preventive Maintenance - Order Processing.
Configuration Data:
not available
Pricing Information:
$3,600 TO $38,000 per module depending on machine class and number
of users
System Information:
HP VECTRA MS-DOS
HP VECTRA SCO-UNIX
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
------------------------- Start of Article -----------------------------
Mfg/Discrete
WITNESS
ATI441660000 ISV
AT&T ISTEL, Inc.
25800 Science Pk. Dr.
Cleveland, OH 44122
FAX (216) 292-2861
Industry:
Mfg/Discrete\Business Modeling/Simulation
Mfg/Process\Capacity Requirements Planning
Mfg/Support\Factory/Plant Automation
Product Description:
WITNESS is a visual, interactive simulation modeling software that
builds and runs floor plan type layouts on a range of PC's and
workstations, even those residing on some types of networks. The system
creates those models and the rules that govern its operation, with
pull-down menus, simple terms a robust ICON library, point and click
operation and a structured logic. WITNESS can help evaluate the
following issues:
* JIT implementation strategies
* Resource or capacity deployment
* Capital justification and ROI analysis
* New plant or additions and batch or mix evaluation
* New process or product introductions
* Automation plans and equipment siting
WITNESS allows planners a high level of detailed input through its
actions. Language, C-Lincs for porting data from others sources and the
ability to effectively interface with other standard software packages
such as LOTUS 1-2-3, Excell or Harvard Graphics.
Configuration Data:
not available
Pricing Information:
Available upon request
System Information:
HP9000/700 HP-UX
HP9000/800 HP-UX
Product Type:
Listed
------------------------- Start of Article -----------------------------
Mfg/Discrete
WOP/3000 - Work Order Processing
DSF821410100 ISV
Dennis and Schwab Inc. (DSI)
17330 Newhope St. #A
Fountain Valley, CA 92708
Dennis Doi (714) 241-4550
Industry:
Mfg/Discrete\All Discrete Manufacturers
Mfg/Discrete\Lot/Serial Number Traceability
Mfg/Discrete\Manufacturing MIS
Product Description:
Work Order Processing module maintains and processes master
scheduled, firm, and planned work orders and component allocations -
independent demand and back orders are supported pick lists and material
requisitions are generated to create work order kits and pre-shortage
reports. Scheduled issues and receipts aid in short-term production
planning activities.
WOP/3000 is fully integrated with the other modules of QED/3000 and
is written in COBOL.
Configuration Data:
not available
Pricing Information:
Value priced by HP model starting at $3,000
System Information:
HP3000 MPE
HP3000/900 MPE/iX
Product Type:
FRD referenced